verilator/test_regress/t
2014-06-09 22:27:04 -04:00
..
t_sv_bus_mux_demux Tests: Added additional SystemVerilog tests. 2012-03-20 19:28:35 -04:00
t_sv_cpu_code Tests: Fix unsupported items. 2014-04-03 22:03:03 -04:00
tsub Add -F option to read relative option files, bug297. 2010-11-03 07:21:34 -04:00
.gitattributes
bootstrap.pl tests: Fix bootstrap wouldn't cd for test_regress/t/t_dist_portability.pl. 2013-02-10 09:29:35 -05:00
t_alw_combdly.pl
t_alw_combdly.v Support {} in always sensitivity lists, bug745. 2014-04-21 19:39:28 -04:00
t_alw_dly.pl
t_alw_dly.v
t_alw_split.pl Tests: file_grep prints failing expected value when possible. 2012-05-11 18:24:49 -04:00
t_alw_split.v
t_alw_splitord.pl Tests: file_grep prints failing expected value when possible. 2012-05-11 18:24:49 -04:00
t_alw_splitord.v
t_array_packed_sysfunct.pl Support , and related functions, bug448. 2013-01-20 12:19:22 -05:00
t_array_packed_sysfunct.v Tests: Redo check macro. 2013-02-02 09:28:45 -05:00
t_array_packed_write_read.pl tests: Add unsupported as target type; additional tests 2012-03-24 11:10:17 -04:00
t_array_packed_write_read.v Tests 2012-11-03 09:23:34 -04:00
t_array_pattern_packed.pl Support '{} assignment pattern on arrays, bug355. 2014-03-30 20:41:20 -04:00
t_array_pattern_packed.v Fix pattern assignment to arrayed basic type, bug769. 2014-06-06 21:14:44 -04:00
t_array_pattern_unpacked.pl Support '{} assignment pattern on arrays, bug355. 2014-03-30 20:41:20 -04:00
t_array_pattern_unpacked.v Support '{} assignment pattern on arrays, bug355. 2014-03-30 20:41:20 -04:00
t_array_query.pl Support , and related functions, bug448. 2013-01-20 12:19:22 -05:00
t_array_query.v Tests: Make struct test pass. 2013-01-17 22:45:35 -05:00
t_assert_basic_cover.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_assert_basic_fail.pl
t_assert_basic_off.pl
t_assert_basic.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_assert_basic.v Fix assertions broken from bug725, bug743. 2014-04-16 22:33:25 -04:00
t_assert_cover_off.pl
t_assert_cover.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_assert_cover.v
t_assert_dup_bad.pl
t_assert_dup_bad.v
t_assert_synth_full.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_assert_synth_off.pl
t_assert_synth_parallel.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_assert_synth.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_assert_synth.v Commentary 2011-07-01 15:23:09 -04:00
t_attr_parenstar.pl Fix "always @ (* )", bug403, bug404. 2011-10-25 18:08:24 -04:00
t_attr_parenstar.v Fix "always @ (* )", bug403, bug404. 2011-10-25 18:08:24 -04:00
t_bench_mux4k.pl
t_bench_mux4k.v
t_bench_synmul.pl
t_bind2.pl Tests: bind by modname 2013-01-15 19:23:46 -05:00
t_bind2.v Tests: Redo check macro. 2013-02-02 09:28:45 -05:00
t_bind.pl Support bind, to module names only, bug602. 2013-01-14 23:19:44 -05:00
t_bind.v Support bind in , bug602. 2013-02-14 06:55:09 -05:00
t_bitsel_const_bad.pl Internals: Have V3WidthSel use only dtypes for select promotion. 2013-01-13 14:49:53 -05:00
t_bitsel_const_bad.v Tests: Add bug508, bug509 examples 2012-05-08 17:41:42 -04:00
t_bitsel_slice.pl Fix slice extraction from packed array, bug717. 2014-03-08 14:55:05 -05:00
t_bitsel_slice.v Fix slice extraction from packed array, bug717. 2014-03-08 14:55:05 -05:00
t_bitsel_struct2.pl Fix nested packed arrays and structs, bug600. 2013-01-14 21:49:22 -05:00
t_bitsel_struct2.v Tests: Redo check macro. 2013-02-02 09:28:45 -05:00
t_bitsel_struct3.pl Fix struct +: slices, bug605. 2013-01-17 21:48:35 -05:00
t_bitsel_struct3.v Fix struct +: slices, bug605. 2013-01-17 21:48:35 -05:00
t_bitsel_struct.pl Fix nested packed arrays and structs, bug600. 2013-01-14 21:49:22 -05:00
t_bitsel_struct.v Fix nested packed arrays and structs, bug600. 2013-01-14 21:49:22 -05:00
t_bitsel_wire_array_bad.pl Tests: Add bug508, bug509 examples 2012-05-08 17:41:42 -04:00
t_bitsel_wire_array_bad.v Tests: Add bug508, bug509 examples 2012-05-08 17:41:42 -04:00
t_blocking.pl
t_blocking.v
t_case_66bits.pl
t_case_66bits.v
t_case_auto1.pl
t_case_auto1.v
t_case_deep.pl
t_case_deep.v
t_case_default_bad.pl
t_case_default_bad.v
t_case_dupitems.pl
t_case_dupitems.v
t_case_genx_bad.pl
t_case_genx_bad.v
t_case_huge_sub2.v Commentary 2011-07-01 15:23:09 -04:00
t_case_huge_sub3.v
t_case_huge_sub4.v
t_case_huge_sub.v
t_case_huge.pl Tests: file_grep prints failing expected value when possible. 2012-05-11 18:24:49 -04:00
t_case_huge.v
t_case_inside.pl Support case inside, bug708. 2014-01-20 21:59:53 -05:00
t_case_inside.v Support case inside, bug708. 2014-01-20 21:59:53 -05:00
t_case_itemwidth.pl
t_case_itemwidth.v
t_case_nest.pl
t_case_nest.v Internals: Add isRanged, more debug. No functional change. 2012-03-04 16:18:41 -05:00
t_case_onehot.pl
t_case_onehot.v
t_case_orig.pl
t_case_orig.v
t_case_reducer.pl Fix mis-optimized identical submodule subtract, bug581. 2012-11-28 07:36:47 -05:00
t_case_reducer.v Fix mis-optimized identical submodule subtract, bug581. Take 2. 2012-11-28 20:18:41 -05:00
t_case_wild.pl
t_case_wild.v
t_case_write1_tasks.v Support $fopen and I/O with integer instead of `verilator_file_descriptor. 2011-07-01 13:41:21 -04:00
t_case_write1.out
t_case_write1.pl
t_case_write1.v
t_case_write2_tasks.v Support $fopen and I/O with integer instead of `verilator_file_descriptor. 2011-07-01 13:41:21 -04:00
t_case_write2.out
t_case_write2.pl
t_case_write2.v
t_case_x_bad.pl
t_case_x_bad.v
t_case_x.pl
t_case_x.v
t_case_zx_bad.pl
t_case_zx_bad.v
t_cast.pl Support simple cast operators, bug335. 2011-03-17 22:25:49 -04:00
t_cast.v Support <number>'() sized casts, bug628. 2013-03-05 22:13:22 -05:00
t_cdc_async_bad.pl Add duplicate clock gate optimization, msg980. 2013-02-20 20:14:15 -05:00
t_cdc_async_bad.v
t_chg_first.pl Tests: Move most old test_v tests into test_regress. 2014-04-29 19:47:26 -04:00
t_chg_first.v Tests: Move most old test_v tests into test_regress. 2014-04-29 19:47:26 -04:00
t_clk_2in_vec.pl Tests: Clocking test to consider bug623. 2013-02-22 21:00:37 -05:00
t_clk_2in.cpp Tests: Clocking test to consider bug623. 2013-02-22 21:00:37 -05:00
t_clk_2in.pl Tests: Clocking test to consider bug623. 2013-02-22 21:00:37 -05:00
t_clk_2in.v Tests: Clocking test to consider bug623. 2013-02-22 21:00:37 -05:00
t_clk_condflop_nord.pl Add --no-order-clock-delay to work around bug613. 2013-09-30 16:52:43 -04:00
t_clk_condflop_nord.v Add --no-order-clock-delay to work around bug613. 2013-09-30 16:52:43 -04:00
t_clk_condflop.pl Fix ordering of clock enables with delayed assigns, bug613. 2013-06-05 23:35:47 -04:00
t_clk_condflop.v
t_clk_dpulse.pl
t_clk_dpulse.v
t_clk_dsp.pl
t_clk_dsp.v
t_clk_first.pl Tests: Move most old test_v tests into test_regress. 2014-04-29 19:47:26 -04:00
t_clk_first.v Tests: Move most old test_v tests into test_regress. 2014-04-29 19:47:26 -04:00
t_clk_gater.pl Tests: file_grep prints failing expected value when possible. 2012-05-11 18:24:49 -04:00
t_clk_gater.v Commentary 2011-07-01 15:23:09 -04:00
t_clk_gen.pl
t_clk_gen.v
t_clk_latch_edgestyle.pl
t_clk_latch.pl
t_clk_latch.v
t_clk_latchgate.pl
t_clk_latchgate.v
t_clk_powerdn.pl Fix ordering of clock enables with delayed assigns, bug613. 2013-06-05 23:35:47 -04:00
t_clk_powerdn.v Internals: Rename functions to match real branch. No functional change. 2011-07-21 21:32:31 -04:00
t_clk_vecgen1.pl
t_clk_vecgen1.v
t_clk_vecgen2.pl
t_clk_vecgen3.pl
t_const_dec_mixed_bad.pl
t_const_dec_mixed_bad.v
t_const_overflow_bad.pl
t_const_overflow_bad.v
t_cover_line_cc.pl Support coverage in -cc and -sc output modes. 2011-07-28 19:41:05 -04:00
t_cover_line_sc.pl Support coverage in -cc and -sc output modes. 2011-07-28 19:41:05 -04:00
t_cover_line_sp.pl Support coverage in -cc and -sc output modes. 2011-07-28 19:41:05 -04:00
t_cover_line.v
t_cover_sva_notflat.pl
t_cover_sva_notflat.v
t_cover_toggle.pl Fix loosing logic/bit difference and -x-initial-edge fallout, bug604. 2013-01-17 07:16:19 -05:00
t_cover_toggle.v Fix array assignment from const var, bug693. 2013-10-31 22:39:26 -04:00
t_debug_fatalsrc_bad.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_debug_fatalsrc_bt_bad.pl Fix and document --gdb option, bug454. 2012-03-09 18:37:38 -05:00
t_debug_sigsegv_bad.pl Fix and document --gdb option, bug454. 2012-03-09 18:37:38 -05:00
t_debug_sigsegv_bt_bad.pl Fix and document --gdb option, bug454. 2012-03-09 18:37:38 -05:00
t_dedupe_clk_gate.pl Add duplicate clock gate optimization, msg980. 2013-02-20 20:14:15 -05:00
t_dedupe_clk_gate.v Add duplicate clock gate optimization, msg980. 2013-02-20 20:14:15 -05:00
t_dedupe_seq_logic.pl Add duplicate clock gate optimization, msg980. 2013-02-20 20:14:15 -05:00
t_dedupe_seq_logic.v Add duplicate clock gate optimization, msg980. 2013-02-20 20:14:15 -05:00
t_delay_stmtdly_bad.pl List unsupported on delays 2011-10-21 07:13:38 -04:00
t_delay.pl Add -Wall reporting ASSIGNDLY on assignment delays. 2011-10-20 20:50:42 -04:00
t_delay.v Add -Wall reporting ASSIGNDLY on assignment delays. 2011-10-20 20:50:42 -04:00
t_detectarray_1.pl Fix DETECTARRAY on packed structures, bug610. 2013-02-10 09:54:27 -05:00
t_detectarray_1.v Fix DETECTARRAY on packed structures, bug610. 2013-02-10 09:54:27 -05:00
t_detectarray_2.pl Fix DETECTARRAY on packed structures, bug610. 2013-02-10 09:54:27 -05:00
t_detectarray_2.v Fix DETECTARRAY on packed structures, bug610. 2013-02-10 09:54:27 -05:00
t_detectarray_3.pl Fix DETECTARRAY error on packed arrays, bug770. 2014-06-07 09:53:40 -04:00
t_detectarray_3.v Fix DETECTARRAY error on packed arrays, bug770. 2014-06-07 09:53:40 -04:00
t_display_bad.pl Better message for display-like format warnings, bug500. 2012-05-02 21:04:50 -04:00
t_display_bad.v
t_display_noopt.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_display_real_noopt.pl Fix $display with %d following %g. 2011-11-20 00:45:59 -05:00
t_display_real.pl Fix $display with %d following %g. 2011-11-20 00:45:59 -05:00
t_display_real.v Fix $display with %d following %g. 2011-11-20 00:45:59 -05:00
t_display_signed_noopt.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_display_signed.pl
t_display_signed.v
t_display_time.pl
t_display_time.v
t_display_wide.pl Fix missing test files 2011-04-06 07:33:32 -04:00
t_display_wide.v Fix missing test files 2011-04-06 07:33:32 -04:00
t_display.pl Tests: %t formatting 2011-07-21 19:37:53 -04:00
t_display.v Tests: %t formatting 2011-07-21 19:37:53 -04:00
t_dist_cinclude.pl
t_dist_fixme.pl Update WIDTH warning message formats to match future commit. 2014-04-29 21:11:57 -04:00
t_dist_install.pl Tests: Move driver.pl tee into perl to avoid process issue, bug650. 2013-05-23 20:08:39 -04:00
t_dist_manifest.pl
t_dist_portability.pl Fix string corruption, bug780. 2014-06-08 21:36:18 -04:00
t_dist_spdiff.pl
t_dist_untracked.pl
t_dos.pl
t_dos.v
t_dpi_2exp_bad.pl
t_dpi_2exp_bad.v
t_dpi_accessors_inc.vh Tests: Add t_dpi_accessors 2012-03-27 20:06:59 -04:00
t_dpi_accessors_macros_inc.vh Tests: Add t_dpi_accessors 2012-03-27 20:06:59 -04:00
t_dpi_accessors.cpp Add Emacs indentation line. No functional change 2012-04-12 21:08:20 -04:00
t_dpi_accessors.pl Tests: Add t_dpi_accessors 2012-03-27 20:06:59 -04:00
t_dpi_accessors.v Tests: Add t_dpi_accessors 2012-03-27 20:06:59 -04:00
t_dpi_context_c.cpp Portability fixes for gcc 4.6.3 2012-05-10 22:04:53 -04:00
t_dpi_context_noopt.pl
t_dpi_context.pl
t_dpi_context.v
t_dpi_display_c.cpp Add Emacs indentation line. No functional change 2012-04-12 21:08:20 -04:00
t_dpi_display.pl
t_dpi_display.v
t_dpi_dup_bad.pl
t_dpi_dup_bad.v
t_dpi_export_c.cpp Add Verilated::internalsDump() 2013-05-04 10:29:54 -04:00
t_dpi_export.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_dpi_export.v Fix 96 bit DPI input/outputs, bug359 2011-06-28 20:45:50 -04:00
t_dpi_import_c.cpp Support "unsigned int" DPI import functions, msg966. 2012-12-03 20:43:13 -05:00
t_dpi_import.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_dpi_import.v Support "unsigned int" DPI import functions, msg966. 2012-12-03 20:43:13 -05:00
t_dpi_logic_bad.pl
t_dpi_logic_bad.v
t_dpi_name_bad.pl
t_dpi_name_bad.v
t_dpi_qw_c.cpp Add Emacs indentation line. No functional change 2012-04-12 21:08:20 -04:00
t_dpi_qw.pl Fix dpi exports with > 32 bit but < 64 bit args, bug423. 2011-11-28 21:15:57 -05:00
t_dpi_qw.v driver.pl: Add --no-verilation option 2012-04-16 20:28:24 -04:00
t_dpi_shortcircuit_c.cpp Add Emacs indentation line. No functional change 2012-04-12 21:08:20 -04:00
t_dpi_shortcircuit.pl Tests added 2012-04-12 20:13:35 -04:00
t_dpi_shortcircuit.v Tests added 2012-04-12 20:13:35 -04:00
t_dpi_string_c.cpp Fix vpi_get of vpiSize, bug680. 2013-10-13 20:05:57 -04:00
t_dpi_string.pl Support passing strings to DPI imports. 2013-08-14 21:37:13 -04:00
t_dpi_string.v Support passing strings to DPI imports. 2013-08-14 21:37:13 -04:00
t_dpi_sys_c.cpp Add Emacs indentation line. No functional change 2012-04-12 21:08:20 -04:00
t_dpi_sys.pl
t_dpi_sys.v
t_dpi_vams.cpp Fix begin_keywords 1800+VAMS, msg1211. 2014-05-08 07:15:44 -04:00
t_dpi_vams.pl Fix begin_keywords 1800+VAMS, msg1211. 2014-05-08 07:15:44 -04:00
t_dpi_vams.v Fix begin_keywords 1800+VAMS, msg1211. 2014-05-08 07:15:44 -04:00
t_dpi_var.cpp Add Emacs indentation line. No functional change 2012-04-12 21:08:20 -04:00
t_dpi_var.pl
t_dpi_var.v
t_embed1_c.cpp Tests: t_vpi_var: Fix vector length, bug553. 2012-08-25 12:05:08 -04:00
t_embed1_child.v Tests: Example embedding 2011-05-22 08:43:36 -04:00
t_embed1_wrap.v Tests: Example embedding 2011-05-22 08:43:36 -04:00
t_embed1.pl Tests: Example embedding 2011-05-22 08:43:36 -04:00
t_embed1.v Tests: Example embedding 2011-05-22 08:43:36 -04:00
t_emit_constw.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_emit_constw.v
t_enum_func.pl
t_enum_func.v
t_enum_int.pl
t_enum_int.v
t_enum_overlap_bad.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_enum_overlap_bad.v
t_enum_type_methods.pl Tests: Add t_enum_type_methods, bug473 2012-04-12 18:21:08 -04:00
t_enum_type_methods.v Tests: Add t_enum_type_methods, bug473 2012-04-12 18:21:08 -04:00
t_enum.pl
t_enum.v Fix enum value extension of '1. 2013-10-28 20:24:31 -04:00
t_enumeration.pl tests: Add unsupported as target type; additional tests 2012-03-24 11:10:17 -04:00
t_enumeration.v Tests: Added additional SystemVerilog tests. 2012-03-20 19:28:35 -04:00
t_EXAMPLE.pl Tests: Add t_array_query, t_sv_conditional, bug473 2012-04-12 18:15:39 -04:00
t_EXAMPLE.v Add unsupported test, bug711. 2014-01-25 09:49:56 -05:00
t_extend_class_c.h Add Emacs indentation line. No functional change 2012-04-12 21:08:20 -04:00
t_extend_class.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_extend_class.v
t_extend.pl
t_extend.v Use 'vluint64_t' for SystemC instead of (same sized) 'uint64' for MSVC++. 2011-06-29 19:19:01 -04:00
t_final.pl Fix final duplicate declarations when non-inlined, bug661. 2013-07-29 21:47:23 -04:00
t_final.v Fix final duplicate declarations when non-inlined, bug661. 2013-07-29 21:47:23 -04:00
t_flag_bboxsys.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_flag_bboxsys.v
t_flag_csplit.pl Fix --output-split-cfunc to count internal functions. 2013-09-03 19:35:32 -04:00
t_flag_csplit.v Fix --output-split-cfunc to count internal functions. 2013-09-03 19:35:32 -04:00
t_flag_f__2.vc
t_flag_f__3.v
t_flag_f.pl
t_flag_f.v Add -F option to read relative option files, bug297. 2010-11-03 07:21:34 -04:00
t_flag_f.vc Support ${...} and $(...) env variables in .vc files 2011-03-21 12:25:31 -04:00
t_flag_future.pl
t_flag_future.v
t_flag_language.pl
t_flag_language.v
t_flag_ldflags_a.cpp Add Emacs indentation line. No functional change 2012-04-12 21:08:20 -04:00
t_flag_ldflags_c.cpp Add Emacs indentation line. No functional change 2012-04-12 21:08:20 -04:00
t_flag_ldflags_so.cpp Add Emacs indentation line. No functional change 2012-04-12 21:08:20 -04:00
t_flag_ldflags.pl Fix Mac OS-X test issues. 2014-03-24 20:19:43 -04:00
t_flag_ldflags.v
t_flag_lib.pl
t_flag_lib.v
t_flag_libinc.v
t_flag_nomod_bad.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_flag_nomod_bad.v
t_flag_skipidentical.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_flag_skipidentical.v
t_flag_topmod2_bad.pl Search for user -y paths before default current directory. 2011-10-28 18:57:40 -04:00
t_flag_topmod2_bad.v
t_flag_topmodule_bad2.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_flag_topmodule_bad.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_flag_topmodule_inline.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_flag_topmodule_inline.v
t_flag_topmodule.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_flag_topmodule.v
t_flag_werror_bad1.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_flag_werror_bad2.pl
t_flag_werror.v
t_flag_wfatal.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_flag_wfatal.v Add --Wno-fatal to turn off abort on warnings. 2011-03-22 18:09:39 -04:00
t_flag_woff.pl Using command line -Wno-{WARNING} now overrides file-local lint_on. 2014-05-15 20:54:45 -04:00
t_flag_woff.v Using command line -Wno-{WARNING} now overrides file-local lint_on. 2014-05-15 20:54:45 -04:00
t_for_break.pl
t_for_break.v Support disable for loop escapes. 2011-06-28 21:26:49 -04:00
t_for_count.pl
t_for_count.v
t_for_funcbound.pl
t_for_funcbound.v
t_for_init_bug.pl
t_for_init_bug.v
t_for_local.pl
t_for_local.v
t_for_loop.pl
t_for_loop.v
t_func_bad2.pl
t_func_bad2.v
t_func_bad_width.pl
t_func_bad_width.v
t_func_bad.pl Support named function and task arguments. 2013-08-17 20:34:49 -04:00
t_func_bad.v Support named function and task arguments. 2013-08-17 20:34:49 -04:00
t_func_begin2.pl Fix duplicate begin error broke in 3.840, bug548. 2012-08-10 19:39:18 -04:00
t_func_begin2.v Fix duplicate begin error broke in 3.840, bug548. 2012-08-10 19:39:18 -04:00
t_func_check.pl
t_func_check.v
t_func_const_bad.pl
t_func_const_bad.v
t_func_const.pl
t_func_const.v
t_func_crc.pl
t_func_crc.v
t_func_dotted_inl0.pl
t_func_dotted_inl1.pl
t_func_dotted_inl2.pl
t_func_dotted.v
t_func_endian.pl
t_func_endian.v
t_func_first.pl
t_func_first.v
t_func_flip.pl
t_func_flip.v
t_func_gen.pl Fix hang when functions inside begin block. 2012-02-21 21:25:11 -05:00
t_func_gen.v Fix functions inside generate for block. 2012-02-21 22:23:06 -05:00
t_func_graphcirc.pl
t_func_graphcirc.v
t_func_grey.pl
t_func_grey.v
t_func_lib_sub.pl
t_func_lib_sub.v Maintain little endian indication for multidimensional arrays 2013-01-17 23:21:07 -05:00
t_func_lib.pl
t_func_lib.v
t_func_mlog2.pl
t_func_mlog2.v
t_func_named.pl Support named function and task arguments. 2013-08-17 20:34:49 -04:00
t_func_named.v Support named function and task arguments. 2013-08-17 20:34:49 -04:00
t_func_noinl.pl
t_func_noinl.v
t_func_numones.pl
t_func_numones.v
t_func_outfirst.pl
t_func_outfirst.v
t_func_outp.pl
t_func_outp.v
t_func_paramed.pl
t_func_paramed.v
t_func_plog.pl
t_func_plog.v
t_func_public_trace.pl
t_func_public.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_func_public.v Use 'vluint64_t' for SystemC instead of (same sized) 'uint64' for MSVC++. 2011-06-29 19:19:01 -04:00
t_func_rand.cpp Add Emacs indentation line. No functional change 2012-04-12 21:08:20 -04:00
t_func_rand.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_func_rand.v
t_func_range.pl
t_func_range.v
t_func_real_abs.pl Fix ITOD internal error on real conversions, bug491. 2012-04-26 22:30:22 -04:00
t_func_real_abs.v Fix input and real loosing real data type, bug501. 2012-05-02 20:53:38 -04:00
t_func_real_param.pl Fix real constant parameter functions, bug475. 2012-04-02 21:58:40 -04:00
t_func_real_param.v Fix real constant parameter functions, bug475. 2012-04-02 21:58:40 -04:00
t_func_regfirst.pl
t_func_regfirst.v
t_func_return.pl Fix bad result with if-else-return optimization, bug420. 2011-11-12 08:07:30 -05:00
t_func_return.v Internals: Remove dead code. No functional change intended. 2012-04-26 18:42:02 -04:00
t_func_sum.pl
t_func_sum.v
t_func_task_bad.pl Report error on function call output tied to constant. 2011-02-14 19:25:30 -05:00
t_func_task_bad.v Report error on function call output tied to constant. 2011-02-14 19:25:30 -05:00
t_func_tie_bad.pl Report error on function call output tied to constant. 2011-02-14 19:25:30 -05:00
t_func_tie_bad.v Report error on function call output tied to constant. 2011-02-14 19:25:30 -05:00
t_func_twocall.pl
t_func_twocall.v
t_func_types.pl
t_func_types.v
t_func_under2.pl Fix task inlining under case values, bug598. Note this reorders high level operations, so may change loose some optimizations. 2013-01-02 18:35:21 -05:00
t_func_under2.v Fix task inlining under case values, bug598. Note this reorders high level operations, so may change loose some optimizations. 2013-01-02 18:35:21 -05:00
t_func_under.pl Test for last commit. 2012-12-17 18:41:54 -05:00
t_func_under.v Test for last commit. 2012-12-17 18:41:54 -05:00
t_func_v_noinl.pl Fix name collision on task inputs, bug569. 2012-11-03 08:01:19 -04:00
t_func_v.pl Fix name collision on task inputs, bug569. 2012-11-03 08:01:19 -04:00
t_func_v.v Fix name collision on task inputs, bug569. 2012-11-03 08:01:19 -04:00
t_func_wide_out_bad.pl Fix backward widths in function output warning 2012-03-03 12:09:01 -05:00
t_func_wide_out_bad.v Make width violation on function outputs a fatal error 2011-02-18 20:52:26 -05:00
t_func_wide.pl
t_func_wide.v
t_func.pl
t_func.v Report error on function call output tied to constant. 2011-02-14 19:25:30 -05:00
t_gate_array.pl Fix gate primitives with arrays and non-arrayed pins. 2014-05-15 20:57:09 -04:00
t_gate_array.v Fix gate primitives with arrays and non-arrayed pins. 2014-05-15 20:57:09 -04:00
t_gate_basic.pl
t_gate_basic.v
t_gate_elim.pl
t_gate_elim.v
t_gate_implicit.pl
t_gate_implicit.v
t_gate_unsup.pl
t_gate_unsup.v
t_gated_clk_1.pl Fix ordering of clock enables with delayed assigns, bug613. 2013-06-05 23:35:47 -04:00
t_gated_clk_1.v Fix ordering of clock enables with delayed assigns, bug613. 2013-06-05 23:35:47 -04:00
t_gen_alw.pl
t_gen_alw.v
t_gen_assign.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_gen_assign.v
t_gen_cond_bitrange_bad.pl Report SELRANGE warning for non-generate if, bug675. 2013-09-10 07:16:13 -04:00
t_gen_cond_bitrange_bad.v Fix generate operators not short circuiting, bug413. Merge from Jeremy Bennett. 2012-04-19 22:53:52 -04:00
t_gen_cond_bitrange.pl Fix generate operators not short circuiting, bug413. Merge from Jeremy Bennett. 2012-04-19 22:53:52 -04:00
t_gen_cond_bitrange.v Fix generate operators not short circuiting, bug413. Merge from Jeremy Bennett. 2012-04-19 22:53:52 -04:00
t_gen_cond_const.pl Fix generate if, broke in earlier committ, bug492. Merge from Bennett. 2012-04-25 18:17:10 -04:00
t_gen_cond_const.v Fix generate if, broke in earlier committ, bug492. Merge from Bennett. 2012-04-25 18:17:10 -04:00
t_gen_defparam.pl Fix defparam in generate broke in 3.840, bug543. 2012-08-07 18:24:51 -04:00
t_gen_defparam.v Fix defparam in generate broke in 3.840, bug543. 2012-08-07 18:24:51 -04:00
t_gen_div0.pl Fix processing unused parametrized modules, bug470. 2012-03-24 15:54:06 -04:00
t_gen_div0.v Fix processing unused parametrized modules, bug470. 2012-03-24 15:54:06 -04:00
t_gen_for0.pl
t_gen_for0.v
t_gen_for1.pl
t_gen_for1.v
t_gen_for_overlap.pl Fix false name conflict on cells in generate blocks, bug749. 2014-06-09 22:00:45 -04:00
t_gen_for_overlap.v Fix false name conflict on cells in generate blocks, bug749. 2014-06-09 22:00:45 -04:00
t_gen_for_shuffle.pl
t_gen_for_shuffle.v
t_gen_for.pl
t_gen_for.v Support "generate for (genvar i=0; ...". 2011-11-29 18:23:18 -05:00
t_gen_forif.pl
t_gen_forif.v
t_gen_if.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_gen_if.v
t_gen_inc.pl
t_gen_inc.v Support += and -= in standard for loops, bug463. 2012-03-22 21:02:38 -04:00
t_gen_index.pl New tests 2012-08-07 20:59:34 -04:00
t_gen_index.v New tests 2012-08-07 20:59:34 -04:00
t_gen_intdot2.pl
t_gen_intdot2.v Support "generate for (genvar i=0; ...". 2011-11-29 18:23:18 -05:00
t_gen_intdot.pl
t_gen_intdot.v Support "generate for (genvar i=0; ...". 2011-11-29 18:23:18 -05:00
t_gen_local.pl Fix genvar and begin under generate, bug461. 2012-03-23 08:49:47 -04:00
t_gen_local.v Tests: Skip test for bug461 2012-03-22 22:15:35 -04:00
t_gen_lsb.pl Fix packed array non-zero right index select crash, bug642. 2013-05-10 07:09:25 -04:00
t_gen_lsb.v Fix packed array non-zero right index select crash, bug642. 2013-05-10 07:09:25 -04:00
t_gen_mislevel.pl
t_gen_mislevel.v
t_gen_missing_bad.pl Search for user -y paths before default current directory. 2011-10-28 18:57:40 -04:00
t_gen_missing.pl Fix reporting not found modules if generate-off, bug403. 2011-10-27 20:56:38 -04:00
t_gen_missing.v Add PINMISSING and PINNOCONNECT lint checks. 2012-04-26 18:46:44 -04:00
t_gen_upscope.pl Order initial statements based on variables used. Merge from bug683 branch. 2014-04-01 22:01:25 -04:00
t_gen_upscope.v Test 2012-08-23 13:26:12 -04:00
t_gen_var_bad.pl
t_gen_var_bad.v
t_genvar_misuse_bad.pl tests: Add unsupported as target type; additional tests 2012-03-24 11:10:17 -04:00
t_genvar_misuse_bad.v Tests: Parameter bug case 2012-03-09 19:35:37 -05:00
t_help.pl
t_hierarchy_identifier_bad.pl Tests: Added additional SystemVerilog tests. 2012-03-20 19:28:35 -04:00
t_hierarchy_identifier_bad.v Tests: Added additional SystemVerilog tests. 2012-03-20 19:28:35 -04:00
t_hierarchy_identifier.pl Tests: Add new tests from Iztok Jeras, bug446, bug450. 2012-03-07 20:00:09 -05:00
t_hierarchy_identifier.v Fix ENDLABEL warnings on escaped identifiers. 2014-05-15 20:52:22 -04:00
t_hierarchy_unnamed.pl Fix name collision on unnamed blocks, bug567. 2012-11-02 20:30:47 -04:00
t_hierarchy_unnamed.v Fix name collision on unnamed blocks, bug567. 2012-11-02 20:30:47 -04:00
t_if_deep.pl
t_if_deep.v
t_init_concat.pl
t_init_concat.v
t_initial_dlyass_bad.pl Add INITIALDLY warning on initial assignments, bug478. 2012-04-26 20:40:13 -04:00
t_initial_dlyass.pl Add INITIALDLY warning on initial assignments, bug478. 2012-04-26 20:40:13 -04:00
t_initial_dlyass.v Add INITIALDLY warning on initial assignments, bug478. 2012-04-26 20:40:13 -04:00
t_initial_edge_bad.pl Add -x-initial-edge, bug570. by Jeremy Bennett; from BENNETT/initial-edge branch. 2012-11-02 19:55:34 -04:00
t_initial_edge.pl Add -x-initial-edge, bug570. by Jeremy Bennett; from BENNETT/initial-edge branch. 2012-11-02 19:55:34 -04:00
t_initial_edge.v Add -x-initial-edge, bug570. by Jeremy Bennett; from BENNETT/initial-edge branch. 2012-11-02 19:55:34 -04:00
t_initial_inc.vh
t_initial.pl
t_initial.v
t_inside_wild.pl Fix inside statement EQWILD error, bug718. 2014-03-08 13:33:44 -05:00
t_inside_wild.v Fix inside statement EQWILD error, bug718. 2014-03-08 13:33:44 -05:00
t_inside.pl Support inside expressions. 2013-02-02 12:55:48 -05:00
t_inside.v Support inside expressions. 2013-02-02 12:55:48 -05:00
t_inst_aport.pl Add unsupported test, bug711. 2014-01-25 09:49:56 -05:00
t_inst_aport.v Add unsupported test, bug711. 2014-01-25 09:49:56 -05:00
t_inst_array_bad.pl Update WIDTH warning message formats to match future commit. 2014-04-29 21:11:57 -04:00
t_inst_array_bad.v
t_inst_array_inl0.pl
t_inst_array_inl1.pl
t_inst_array_partial.pl Fix array of instantiations with sub-range output, bug414. 2011-11-28 22:10:43 -05:00
t_inst_array_partial.v Fix per-bit array output connection error, bug414. 2013-02-02 18:33:10 -05:00
t_inst_array.v
t_inst_ccall.pl
t_inst_ccall.v
t_inst_dff.pl Tests: Add t_inst_dff parameter width change test. 2014-05-11 09:18:04 -04:00
t_inst_dff.v Tests: Add t_inst_dff parameter width change test. 2014-05-11 09:18:04 -04:00
t_inst_dtree_inla.pl Fix wrong dot resolution under inlining. 2013-02-21 23:38:29 -05:00
t_inst_dtree_inlab.pl Fix wrong dot resolution under inlining. 2013-02-21 23:38:29 -05:00
t_inst_dtree_inlac.pl Fix wrong dot resolution under inlining. 2013-02-21 23:38:29 -05:00
t_inst_dtree_inlad.pl Fix wrong dot resolution under inlining. 2013-02-21 23:38:29 -05:00
t_inst_dtree_inlb.pl Fix wrong dot resolution under inlining. 2013-02-21 23:38:29 -05:00
t_inst_dtree_inlbc.pl Fix wrong dot resolution under inlining. 2013-02-21 23:38:29 -05:00
t_inst_dtree_inlbd.pl Fix wrong dot resolution under inlining. 2013-02-21 23:38:29 -05:00
t_inst_dtree_inlc.pl Fix wrong dot resolution under inlining. 2013-02-21 23:38:29 -05:00
t_inst_dtree_inlcd.pl Fix wrong dot resolution under inlining. 2013-02-21 23:38:29 -05:00
t_inst_dtree_inld.pl Fix wrong dot resolution under inlining. 2013-02-21 23:38:29 -05:00
t_inst_dtree.v Fix wrong dot resolution under inlining. 2013-02-21 23:38:29 -05:00
t_inst_first_a.v Tests: Move most old test_v tests into test_regress. 2014-04-29 19:47:26 -04:00
t_inst_first_b.v Tests: Move most old test_v tests into test_regress. 2014-04-29 19:47:26 -04:00
t_inst_first.pl Tests: Move most old test_v tests into test_regress. 2014-04-29 19:47:26 -04:00
t_inst_first.v Tests: Move most old test_v tests into test_regress. 2014-04-29 19:47:26 -04:00
t_inst_misarray_bad.pl Update WIDTH warning message formats to match future commit. 2014-04-29 21:11:57 -04:00
t_inst_misarray_bad.v Test added 2012-12-31 19:06:49 -05:00
t_inst_mism.pl Fix pin width mismatch error, bug595. 2013-01-15 19:26:35 -05:00
t_inst_mism.v Fix pin width mismatch error, bug595. 2013-01-15 19:26:35 -05:00
t_inst_missing_bad.pl Add PINCONNECTEMPTY warning. 2014-03-27 21:36:52 -04:00
t_inst_missing_bad.v Add PINCONNECTEMPTY warning. 2014-03-27 21:36:52 -04:00
t_inst_missing.pl Fix complete selection of array ports 2013-02-02 16:52:08 -05:00
t_inst_missing.v Add PINCONNECTEMPTY warning. 2014-03-27 21:36:52 -04:00
t_inst_mnpipe.pl
t_inst_mnpipe.v
t_inst_notunsized.pl
t_inst_notunsized.v
t_inst_overwide_bad.pl Update WIDTH warning message formats to match future commit. 2014-04-29 21:11:57 -04:00
t_inst_overwide.pl
t_inst_overwide.v
t_inst_port_array.pl Fix whole slices of arrays, bug609. 2013-02-04 22:11:10 -05:00
t_inst_port_array.v Fix whole slices of arrays, bug609. 2013-02-04 22:11:10 -05:00
t_inst_prepost.pl Fix parameter pins interspersed with cells broke in 3.840. 2012-09-07 19:51:41 -04:00
t_inst_prepost.v Fix parameter pins interspersed with cells broke in 3.840. 2012-09-07 19:51:41 -04:00
t_inst_recurse_bad.pl
t_inst_recurse_bad.v
t_inst_signed.pl Tests: New t_inst_signed test 2012-05-16 20:21:02 -04:00
t_inst_signed.v Tests: New t_inst_signed test 2012-05-16 20:21:02 -04:00
t_inst_sv.pl
t_inst_sv.v
t_inst_tree_inl0_pub0.pl
t_inst_tree_inl0_pub1.pl Tests: file_grep prints failing expected value when possible. 2012-05-11 18:24:49 -04:00
t_inst_tree_inl1_pub0.pl
t_inst_tree_inl1_pub1.pl
t_inst_tree.v
t_inst_v2k__sub.vi Fix module resolution with __, bug631. 2013-03-12 07:27:17 -04:00
t_inst_v2k.pl
t_inst_v2k.v Fix module resolution with __, bug631. 2013-03-12 07:27:17 -04:00
t_inst_wideconst.pl
t_inst_wideconst.v
t_interface1_modport_trace.pl Fix tracing of packed structs, bug705. 2013-12-14 16:51:08 -05:00
t_interface1_modport.pl Support interfaces and modports, bug102. 2013-05-27 21:39:19 -04:00
t_interface1_modport.v Support interfaces and modports, bug102. 2013-05-27 21:39:19 -04:00
t_interface1.pl Support interfaces and modports, bug102. 2013-05-27 21:39:19 -04:00
t_interface1.v Support interfaces and modports, bug102. 2013-05-27 21:39:19 -04:00
t_interface2.pl Support interfaces and modports, bug102. 2013-05-27 21:39:19 -04:00
t_interface2.v Support interfaces and modports, bug102. 2013-05-27 21:39:19 -04:00
t_interface_down_gen.pl Support interfaces and modports, bug102. 2013-05-27 21:39:19 -04:00
t_interface_down_gen.v Support interfaces and modports, bug102. 2013-05-27 21:39:19 -04:00
t_interface_down_inla.pl Support interfaces and modports, bug102. 2013-05-27 21:39:19 -04:00
t_interface_down_inlab.pl Support interfaces and modports, bug102. 2013-05-27 21:39:19 -04:00
t_interface_down_inlac.pl Support interfaces and modports, bug102. 2013-05-27 21:39:19 -04:00
t_interface_down_inlad.pl Support interfaces and modports, bug102. 2013-05-27 21:39:19 -04:00
t_interface_down_inlb.pl Support interfaces and modports, bug102. 2013-05-27 21:39:19 -04:00
t_interface_down_inlbc.pl Support interfaces and modports, bug102. 2013-05-27 21:39:19 -04:00
t_interface_down_inlbd.pl Support interfaces and modports, bug102. 2013-05-27 21:39:19 -04:00
t_interface_down_inlc.pl Support interfaces and modports, bug102. 2013-05-27 21:39:19 -04:00
t_interface_down_inlcd.pl Support interfaces and modports, bug102. 2013-05-27 21:39:19 -04:00
t_interface_down_inld.pl Support interfaces and modports, bug102. 2013-05-27 21:39:19 -04:00
t_interface_down.pl Support interfaces and modports, bug102. 2013-05-27 21:39:19 -04:00
t_interface_down.v Support interfaces and modports, bug102. 2013-05-27 21:39:19 -04:00
t_interface_gen2.pl Support interfaces and modports, bug102. 2013-05-27 21:39:19 -04:00
t_interface_gen2.v Support interfaces and modports, bug102. 2013-05-27 21:39:19 -04:00
t_interface_gen3.pl Support interfaces and modports, bug102. 2013-05-27 21:39:19 -04:00
t_interface_gen3.v Support interfaces and modports, bug102. 2013-05-27 21:39:19 -04:00
t_interface_gen.pl Support interfaces and modports, bug102. 2013-05-27 21:39:19 -04:00
t_interface_gen.v Support interfaces and modports, bug102. 2013-05-27 21:39:19 -04:00
t_interface_inl.pl Support interfaces and modports, bug102. 2013-05-27 21:39:19 -04:00
t_interface_mismodport_bad.pl Support interfaces and modports, bug102. 2013-05-27 21:39:19 -04:00
t_interface_mismodport_bad.v Support interfaces and modports, bug102. 2013-05-27 21:39:19 -04:00
t_interface_modport_export.pl Support modport import, bug696. 2013-12-21 06:51:15 -05:00
t_interface_modport_export.v Support modport import, bug696. 2013-12-21 06:51:15 -05:00
t_interface_modport_import.pl Support modport import, bug696. 2013-12-21 06:51:15 -05:00
t_interface_modport_import.v Support modport import, bug696. 2013-12-21 06:51:15 -05:00
t_interface_modport_inl.pl Support interfaces and modports, bug102. 2013-05-27 21:39:19 -04:00
t_interface_modport.pl Support interfaces and modports, bug102. 2013-05-27 21:39:19 -04:00
t_interface_modport.v Support interfaces and modports, bug102. 2013-05-27 21:39:19 -04:00
t_interface_mp_func.pl Fix modport function import not-found error. 2014-04-03 21:53:39 -04:00
t_interface_mp_func.v Fix modport function import not-found error. 2014-04-03 21:53:39 -04:00
t_interface_param1.pl Fix seg-fault with variable of parameterized interface, bug692. 2014-05-28 07:33:40 -04:00
t_interface_param1.v Fix seg-fault with variable of parameterized interface, bug692. 2014-05-28 07:33:40 -04:00
t_interface_top_bad.pl Support interfaces and modports, bug102. 2013-05-27 21:39:19 -04:00
t_interface_top_bad.v Support interfaces and modports, bug102. 2013-05-27 21:39:19 -04:00
t_interface_twod.pl Fix interface ports with comma lists, msg1058. 2013-06-13 19:38:18 -04:00
t_interface_twod.v Fix interface ports with comma lists, msg1058. 2013-06-13 19:38:18 -04:00
t_interface.pl Support interfaces and modports, bug102. 2013-05-27 21:39:19 -04:00
t_interface.v Support interfaces and modports, bug102. 2013-05-27 21:39:19 -04:00
t_langext_1_bad.pl Add +1364-1995ext and similar language options, bug532. 2012-11-13 20:12:23 -05:00
t_langext_1.pl Add +1364-1995ext and similar language options, bug532. 2012-11-13 20:12:23 -05:00
t_langext_1.v Add +1364-1995ext and similar language options, bug532. 2012-11-13 20:12:23 -05:00
t_langext_2_bad.pl Add +1364-1995ext and similar language options, bug532. 2012-11-13 20:12:23 -05:00
t_langext_2.pl Add +1364-1995ext and similar language options, bug532. 2012-11-13 20:12:23 -05:00
t_langext_2.v Add +1364-1995ext and similar language options, bug532. 2012-11-13 20:12:23 -05:00
t_langext_3_bad.pl Add +1364-1995ext and similar language options, bug532. 2012-11-13 20:12:23 -05:00
t_langext_3.pl Add +1364-1995ext and similar language options, bug532. 2012-11-13 20:12:23 -05:00
t_langext_3.v Add +1364-1995ext and similar language options, bug532. 2012-11-13 20:12:23 -05:00
t_langext_4_bad.pl Add +1364-1995ext and similar language options, bug532. 2012-11-13 20:12:23 -05:00
t_langext_4.pl Add +1364-1995ext and similar language options, bug532. 2012-11-13 20:12:23 -05:00
t_leak.cpp Add Emacs indentation line. No functional change 2012-04-12 21:08:20 -04:00
t_leak.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_leak.v
t_lint_always_comb_bad.pl Add ALWCOMBORDER warning. 2013-04-30 22:55:28 -04:00
t_lint_always_comb_bad.v Add ALWCOMBORDER warning. 2013-04-30 22:55:28 -04:00
t_lint_blksync_bad.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_lint_blksync_bad.v Fix DLYSYNC with for loop variables 2010-12-31 20:18:21 -05:00
t_lint_blksync_loop.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_lint_blksync_loop.v Maintain little endian indication for multidimensional arrays 2013-01-17 23:21:07 -05:00
t_lint_block_redecl_bad.pl Fix linking suppressing duplicate var and block name errors 2012-04-19 20:51:21 -04:00
t_lint_block_redecl_bad.v Fix linking suppressing duplicate var and block name errors 2012-04-19 20:51:21 -04:00
t_lint_declfilename_bad.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_lint_declfilename.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_lint_declfilename.v With --Wall, add DECLFILENAME warning on modules not matching filename. 2010-12-25 16:31:22 -05:00
t_lint_defparam_bad.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_lint_defparam.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_lint_defparam.v Add -Wwarn-style, -Wno-style, and DEFPARAM warnings 2010-12-25 15:13:56 -05:00
t_lint_ifdepth_bad.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_lint_ifdepth_bad.v With --Wall, add IFDEPTH warning on deep if statements. 2010-12-26 09:31:09 -05:00
t_lint_implicit_bad.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_lint_implicit_def_bad.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_lint_implicit_def_bad.v
t_lint_implicit_port.pl Support interfaces and modports, bug102. 2013-05-27 21:39:19 -04:00
t_lint_implicit_port.v tests: Fix bogus set of output. 2014-03-14 20:19:56 -04:00
t_lint_implicit.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_lint_implicit.v
t_lint_incabspath_bad.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_lint_incabspath.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_lint_incabspath.v With --Wall, add INCABSPATH warning on `include with absolute paths. 2010-12-25 15:50:07 -05:00
t_lint_inherit.pl
t_lint_inherit.v
t_lint_input_eq_bad.pl Fix internal error on "input x =" syntax error, bug716. 2014-03-08 12:26:34 -05:00
t_lint_input_eq_bad.v Fix internal error on "input x =" syntax error, bug716. 2014-03-08 12:26:34 -05:00
t_lint_multidriven_bad.pl Internals: Add warnMore() for all continued messages. 2012-05-21 21:24:17 -04:00
t_lint_multidriven_bad.v Fix memory delayed assignments from multiple clock domains. 2012-01-26 08:10:50 -05:00
t_lint_once_bad.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_lint_once_bad.v Throw UNUSED/UNDRIVEN only once per net in a parametrized module. 2011-01-18 21:28:51 -05:00
t_lint_only.pl Tests: Move driver.pl tee into perl to avoid process issue, bug650. 2013-05-23 20:08:39 -04:00
t_lint_only.v
t_lint_pindup_bad.pl Fix parameter pin vs. normal pin error, bug704. 2013-12-14 18:04:10 -05:00
t_lint_pindup_bad.v Report errors on empty pins, bug321 2011-01-29 18:00:48 -05:00
t_lint_realcvt_bad.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_lint_realcvt_bad.v Support 'real' numbers and related functions. 2011-07-24 15:01:51 -04:00
t_lint_repeat_bad.pl Fix duplicate warnings/errors, bug516. 2012-05-21 21:31:52 -04:00
t_lint_repeat_bad.v Fix duplicate warnings/errors, bug516. 2012-05-21 21:31:52 -04:00
t_lint_restore_bad.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_lint_restore_bad.v
t_lint_setout_bad_noinl.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_lint_setout_bad.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_lint_setout_bad.v Fix error on constants connected to outputs, bug323. 2011-01-31 07:05:04 -05:00
t_lint_syncasyncnet_bad.pl Internals: Add warnMore() for all continued messages. 2012-05-21 21:24:17 -04:00
t_lint_syncasyncnet_bad.v Add SYNCASYNCNET 2010-12-31 07:51:14 -05:00
t_lint_unused_bad.pl Fix error when using UDPs without --bbox-unsup 2012-02-20 09:02:59 -05:00
t_lint_unused_bad.v Fix false UNUSED warning on file system calls. 2012-06-03 08:20:12 -04:00
t_lint_unused.pl Fix false UNUSED warning on file system calls. 2012-06-03 08:20:12 -04:00
t_lint_unused.v Fix false UNUSED warning on file system calls. 2012-06-03 08:20:12 -04:00
t_lint_width_bad.pl Tests: More width testing. 2014-05-10 21:51:21 -04:00
t_lint_width_bad.v Tests: More width testing. 2014-05-10 21:51:21 -04:00
t_lint_width.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_lint_width.v Suppress WIDTH warnings when adding/subtracting 1'b1. 2010-12-02 14:00:43 -05:00
t_math_arith.pl
t_math_arith.v Fix X/Z extension with WIDTH param mismatch, bug764. 2014-05-10 21:38:36 -04:00
t_math_clog2.pl
t_math_clog2.v
t_math_cmp.pl
t_math_cmp.v
t_math_concat0.pl
t_math_concat0.v
t_math_concat64.pl
t_math_concat64.v
t_math_concat.pl
t_math_concat.v
t_math_cond_huge.pl
t_math_cond_huge.v
t_math_const.pl
t_math_const.v Support signal[vec]++. 2013-05-06 08:02:16 -04:00
t_math_div0.pl Fix late constant division by zero giving X error, bug775. 2014-06-09 22:27:04 -04:00
t_math_div0.v Fix late constant division by zero giving X error, bug775. 2014-06-09 22:27:04 -04:00
t_math_div.pl
t_math_div.v
t_math_divw.pl
t_math_divw.v
t_math_eq.pl
t_math_eq.v
t_math_equal.pl
t_math_equal.v
t_math_imm2.cpp Add Emacs indentation line. No functional change 2012-04-12 21:08:20 -04:00
t_math_imm2.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_math_imm2.v
t_math_imm.pl
t_math_imm.v
t_math_msvc_64.pl
t_math_msvc_64.v
t_math_mul.pl
t_math_mul.v
t_math_pick.pl Fix packed array select internal error, bug652. 2013-05-24 21:32:54 -04:00
t_math_pick.v Fix packed array select internal error, bug652. 2013-05-24 21:32:54 -04:00
t_math_pow2.pl Fix power operator calculation, bug730. 2014-04-05 15:44:49 -04:00
t_math_pow2.v Fix power operator calculation, bug730. 2014-04-05 15:44:49 -04:00
t_math_pow3.pl Fix power operator calculation, bug730. 2014-04-05 15:44:49 -04:00
t_math_pow3.v Update WIDTH warning message formats to match future commit. 2014-04-29 21:11:57 -04:00
t_math_pow4.pl Fix power calculation; setAllOnes should not set hidden state bits in V3Number. 2014-04-08 20:28:16 -04:00
t_math_pow4.v Fix power calculation; setAllOnes should not set hidden state bits in V3Number. 2014-04-08 20:28:16 -04:00
t_math_pow.pl
t_math_pow.v Fix power operator calculation, bug730. 2014-04-05 15:44:49 -04:00
t_math_precedence.pl Update WIDTH warning message formats to match future commit. 2014-04-29 21:11:57 -04:00
t_math_precedence.v Fix power operator calculation, bug730. 2014-04-05 15:44:49 -04:00
t_math_real.pl Support 'real' numbers and related functions. 2011-07-24 15:01:51 -04:00
t_math_real.v Fix internal error on integer casts, bug374. 2011-08-09 19:56:22 -04:00
t_math_repl.pl
t_math_repl.v
t_math_reverse.pl
t_math_reverse.v
t_math_shift_rep.pl Fix huge shifts to zero with -Wno-WIDTH, bug765. 2014-05-13 08:10:59 -04:00
t_math_shift_rep.v Fix huge shifts to zero with -Wno-WIDTH, bug765. 2014-05-13 08:10:59 -04:00
t_math_shift.pl
t_math_shift.v Fix shift by x, bug760. 2014-05-04 08:50:44 -04:00
t_math_shiftrs.pl
t_math_shiftrs.v
t_math_signed2.pl
t_math_signed2.v Re-fix bug729 due to bug733; other internal sign extension cleanups too. 2014-04-07 21:34:00 -04:00
t_math_signed3.pl Re-fix bug729 due to bug733; other internal sign extension cleanups too. 2014-04-07 21:34:00 -04:00
t_math_signed3.v Update WIDTH warning message formats to match future commit. 2014-04-29 21:11:57 -04:00
t_math_signed4.pl Rewrite V3Width for better spec adherence when -Wno-WIDTH. 2014-04-29 22:01:50 -04:00
t_math_signed4.v Fix concats with no argments mis-sign extending, bug759. 2014-05-03 20:20:15 -04:00
t_math_signed5.pl Fix >>> sign extension based on expression, bug754. 2014-05-03 09:25:12 -04:00
t_math_signed5.v Fix late constant division by zero giving X error, bug775. 2014-06-09 22:27:04 -04:00
t_math_signed_wire.pl Fix signed extending biops with WIDTH warning off, bug511. 2012-05-16 22:18:52 -04:00
t_math_signed_wire.v Fix signed extending biops with WIDTH warning off, bug511. 2012-05-16 22:18:52 -04:00
t_math_signed.pl
t_math_signed.v Test for bug349 2012-08-08 22:15:07 -04:00
t_math_strwidth.pl
t_math_strwidth.v
t_math_svl2.pl
t_math_svl2.v
t_math_svl.pl tests: Test $countones on other simulators 2011-11-28 09:48:01 -05:00
t_math_svl.v tests: Test $countones on other simulators 2011-11-28 09:48:01 -05:00
t_math_swap.pl
t_math_swap.v
t_math_synmul_mul.v
t_math_synmul.pl
t_math_synmul.v
t_math_tri.pl
t_math_tri.v
t_math_trig.pl Support $ceil, $floor, etc. 2011-09-28 21:35:26 -04:00
t_math_trig.v Support $ceil, $floor, etc. 2011-09-28 21:35:26 -04:00
t_math_vgen.pl
t_math_vgen.v Fix wide modulus uninit var 2014-05-03 20:09:45 -04:00
t_math_vliw.pl
t_math_vliw.v
t_math_width.pl Suppress WIDTH warnings on 'x = 1<<a' 2014-05-10 17:19:57 -04:00
t_math_width.v Tests: More width testing. 2014-05-10 21:51:21 -04:00
t_mem_fifo.pl
t_mem_fifo.v Maintain little endian indication for multidimensional arrays 2013-01-17 23:21:07 -05:00
t_mem_file.pl
t_mem_file.v
t_mem_first.pl
t_mem_first.v Maintain little endian indication for multidimensional arrays 2013-01-17 23:21:07 -05:00
t_mem_func.pl
t_mem_func.v
t_mem_iforder.pl
t_mem_iforder.v
t_mem_multi_io2_cc.pl Fix arrayed input compile error, bug645. 2013-05-15 22:00:28 -04:00
t_mem_multi_io2_sc.pl Fix arrayed input compile error, bug645. 2013-05-15 22:00:28 -04:00
t_mem_multi_io2.cpp Add Emacs indentation line. No functional change 2012-04-12 21:08:20 -04:00
t_mem_multi_io2.v Support arrayed SystemC I/O pins. 2012-02-01 20:20:43 -05:00
t_mem_multi_io3_cc.pl Fix arrayed input compile error, bug645. Try 2. 2013-05-18 20:17:17 -04:00
t_mem_multi_io3_sc.pl Fix arrayed input compile error, bug645. Try 2. 2013-05-18 20:17:17 -04:00
t_mem_multi_io3.cpp Fix arrayed input compile error, bug645. Try 2. 2013-05-18 20:17:17 -04:00
t_mem_multi_io3.v Fix arrayed input compile error, bug645. Try 2. 2013-05-18 20:17:17 -04:00
t_mem_multi_io.pl
t_mem_multi_io.v
t_mem_multi_ref_bad.pl Comment about -x-initial-edge and logic/bit. 2013-01-16 20:47:22 -05:00
t_mem_multi_ref_bad.v
t_mem_multidim_Ox.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_mem_multidim_trace.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_mem_multidim.pl
t_mem_multidim.v Maintain little endian indication for multidimensional arrays 2013-01-17 23:21:07 -05:00
t_mem_multiwire.pl
t_mem_multiwire.v Fix wire declarations with size and not range, bug466. 2013-12-14 19:50:55 -05:00
t_mem_packed_assign.pl Fix nested packed arrays and structs, bug600. 2013-01-14 21:49:22 -05:00
t_mem_packed_assign.v Fix nested packed arrays and structs, bug600. 2013-01-14 21:49:22 -05:00
t_mem_packed_bad.pl Fix nested packed arrays and structs, bug600. 2013-01-14 21:49:22 -05:00
t_mem_packed_bad.v Fix nested packed arrays and structs, bug600. 2013-01-14 21:49:22 -05:00
t_mem_packed.pl
t_mem_packed.v tests: Fix Xs on vector data 2013-01-14 21:38:16 -05:00
t_mem_shift.pl Tests: file_grep prints failing expected value when possible. 2012-05-11 18:24:49 -04:00
t_mem_shift.v
t_mem_slice_bad.pl Fix whole slices of arrays, bug609. 2013-02-04 22:11:10 -05:00
t_mem_slice_bad.v
t_mem_slice_conc_bad.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_mem_slice_conc_bad.v Fix out of memory on slice syntax error, bug354. 2011-05-21 08:19:33 -04:00
t_mem_slice.pl Support interfaces and modports, bug102. 2013-05-27 21:39:19 -04:00
t_mem_slice.v Fix whole slices of arrays, bug609. 2013-02-04 22:11:10 -05:00
t_mem_slot.cpp Add Emacs indentation line. No functional change 2012-04-12 21:08:20 -04:00
t_mem_slot.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_mem_slot.v Maintain little endian indication for multidimensional arrays 2013-01-17 23:21:07 -05:00
t_mem_twoedge.pl Fix memory delayed assignments from multiple clock domains. 2012-01-26 08:10:50 -05:00
t_mem_twoedge.v Fix memory delayed assignments from multiple clock domains. 2012-01-26 08:10:50 -05:00
t_mem.pl
t_mem.v
t_metacmt_onoff.pl
t_metacmt_onoff.v
t_mod_dup_bad.pl
t_mod_dup_bad.v
t_mod_dup_ign.pl
t_mod_dup_ign.v
t_mod_recurse.pl Tests: Add t_mod_recurse. 2013-06-23 22:39:08 -04:00
t_mod_recurse.v Tests: Add t_mod_recurse. 2013-06-23 22:39:08 -04:00
t_order_a.v
t_order_b.v
t_order_clkinst.pl
t_order_clkinst.v
t_order_comboclkloop.pl
t_order_comboclkloop.v
t_order_comboloop.pl
t_order_comboloop.v
t_order_doubleloop.pl
t_order_doubleloop.v
t_order_first.pl Tests: Move most old test_v tests into test_regress. 2014-04-29 19:47:26 -04:00
t_order_first.v Tests: Move most old test_v tests into test_regress. 2014-04-29 19:47:26 -04:00
t_order_loop_bad.pl Fix loop error message to report line, bug513. 2012-05-16 19:31:24 -04:00
t_order_loop_bad.v Fix loop error message to report line, bug513. 2012-05-16 19:31:24 -04:00
t_order_multialways.pl
t_order_multialways.v
t_order_multidriven.cpp Fix simulation error when inputs and MULTIDRIVEN, bug634. 2013-05-02 08:23:17 -04:00
t_order_multidriven.pl Fix simulation error when inputs and MULTIDRIVEN, bug634. 2013-05-02 08:23:17 -04:00
t_order_multidriven.v Fix simulation error when inputs and MULTIDRIVEN, bug634. 2013-05-02 08:23:17 -04:00
t_order_quad.cpp Fix -Wno-UNOPTFLAT change detection with 64-bits, bug762. 2014-05-10 12:40:35 -04:00
t_order_quad.pl Fix -Wno-UNOPTFLAT change detection with 64-bits, bug762. 2014-05-10 12:40:35 -04:00
t_order_quad.v Fix -Wno-UNOPTFLAT change detection with 64-bits, bug762. 2014-05-10 12:40:35 -04:00
t_order_wireloop.pl
t_order_wireloop.v
t_order.pl
t_order.v
t_package_abs.pl Fix imports causing symbol table error, bug490. 2012-04-24 21:21:26 -04:00
t_package_abs.v tests; check package to package refs 2012-08-07 20:37:28 -04:00
t_package_ddecl.pl Fix previous mis-commit 2012-08-02 07:00:12 -04:00
t_package_ddecl.v Commentary 2012-07-31 19:23:19 -04:00
t_package_dimport.pl Fix imports under multiple instantiated cells, bug542. 2012-08-08 21:59:17 -04:00
t_package_dimport.v Fix imports under multiple instantiated cells, bug542. 2012-08-08 21:59:17 -04:00
t_package_enum.pl Fix enum items under packages. 2013-02-02 14:11:50 -05:00
t_package_enum.v Fix enums in sensitivity lists 2013-02-02 14:37:18 -05:00
t_package_export_bad.pl Fix package import of package imports, partial bug592. 2012-12-17 20:26:40 -05:00
t_package_export.pl Fix package import of package imports, partial bug592. 2012-12-17 20:26:40 -05:00
t_package_export.v Fix package import of package imports, partial bug592. 2012-12-17 20:26:40 -05:00
t_package_param.pl Fix package import preventing local var, bug599. 2013-01-08 19:06:52 -05:00
t_package_param.v Fix package import preventing local var, bug599. 2013-01-08 19:06:52 -05:00
t_package_twodeep.pl Fix package import of non-localparam parameter, bug591. 2012-12-17 19:07:23 -05:00
t_package_twodeep.v Support SV 2012 package import before port list. 2014-05-15 20:50:42 -04:00
t_package_verb.pl Tests 2013-01-14 23:39:56 -05:00
t_package_verb.v Tests 2013-01-14 23:39:56 -05:00
t_package.pl
t_package.v Fix package logic var compile error. 2013-01-17 19:04:36 -05:00
t_param_array.pl Support parameter arrays, bug683. 2014-04-01 23:16:16 -04:00
t_param_array.v Support parameter arrays, bug683. 2014-04-01 23:16:16 -04:00
t_param_bit_sel.pl Fix implicit one bit parameter selection, bug603. 2013-01-16 20:58:48 -05:00
t_param_bit_sel.v Fix implicit one bit parameter selection, bug603. 2013-01-16 20:58:48 -05:00
t_param_ceil.pl
t_param_ceil.v
t_param_chain.pl Fix evaluation of chained parameter functions, bug684. 2013-10-18 07:06:32 -04:00
t_param_chain.v Fix evaluation of chained parameter functions, bug684. 2013-10-18 07:06:32 -04:00
t_param_circ_bad.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_param_circ_bad.v Add error on circular parameter definitions, bug329 2011-03-07 20:44:19 -05:00
t_param_concat_bad.pl Fix concats with no argments mis-sign extending, bug759. 2014-05-03 20:20:15 -04:00
t_param_concat.pl
t_param_concat.v
t_param_ddeep_width.pl Fix double-deep parameter cell WIDTHs, bug541. 2012-08-02 07:02:57 -04:00
t_param_ddeep_width.v Fix double-deep parameter cell WIDTHs, bug541. 2012-08-02 07:02:57 -04:00
t_param_first_a.v Tests: Move most old test_v tests into test_regress. 2014-04-29 19:47:26 -04:00
t_param_first_b.v Tests: Move most old test_v tests into test_regress. 2014-04-29 19:47:26 -04:00
t_param_first.pl Tests: Move most old test_v tests into test_regress. 2014-04-29 19:47:26 -04:00
t_param_first.v Tests: Move most old test_v tests into test_regress. 2014-04-29 19:47:26 -04:00
t_param_if_blk.pl Tests 2013-05-21 22:38:35 -04:00
t_param_if_blk.v Tests 2013-05-21 22:38:35 -04:00
t_param_long.pl
t_param_long.v
t_param_mem_attr.pl Fix crash on dotted references into dead modules, bug583. 2012-11-30 06:57:36 -05:00
t_param_mem_attr.v Fix segfault on multidimensional dotted arrays, bug607. 2013-01-25 21:27:19 -05:00
t_param_module.pl Fix signed/unsigned parameter misconversion, bug606. 2013-01-18 21:35:43 -05:00
t_param_module.v Fix signed/unsigned parameter misconversion, bug606. 2013-01-18 21:35:43 -05:00
t_param_named_2.pl
t_param_named_2.v
t_param_named.pl
t_param_named.v
t_param_no_parentheses.pl
t_param_no_parentheses.v
t_param_package.pl Fix package resolution of parameters, bug586. 2012-12-31 17:05:13 -05:00
t_param_package.v Fix package resolution of parameters, bug586. 2012-12-31 17:05:13 -05:00
t_param_public.pl Example test 2013-04-28 18:58:04 -04:00
t_param_public.v Example test 2013-04-28 18:58:04 -04:00
t_param_repl.pl
t_param_repl.v Maintain little endian indication for multidimensional arrays 2013-01-17 23:21:07 -05:00
t_param_sel_range_bad.pl Add SELRANGE as warning instead of error, bug477. 2012-04-04 21:55:20 -04:00
t_param_sel_range.pl Add SELRANGE as warning instead of error, bug477. 2012-04-04 21:55:20 -04:00
t_param_sel_range.v Add SELRANGE as warning instead of error, bug477. 2012-04-04 21:55:20 -04:00
t_param_sel.pl
t_param_sel.v
t_param_type.pl Tests: Add t_interface, bug481. Merge from JERAS/test_sv. 2012-04-08 18:13:56 -04:00
t_param_type.v Tests: Add t_param_type, bug480. Merge from JERAS/test_sv. 2012-04-08 17:59:46 -04:00
t_param_value.pl Tests: New param value test 2012-03-03 15:44:56 -05:00
t_param_value.v Fix inheriting signed type across untyped parameters. 2012-03-03 21:03:34 -05:00
t_param_while.pl Fix parameters not supported in constant functions, bug474. 2012-05-03 21:59:47 -04:00
t_param_while.v Fix parameters not supported in constant functions, bug474. 2012-05-03 21:59:47 -04:00
t_param.pl
t_param.v Test bug424. 2013-02-13 21:10:17 -05:00
t_parse_delay.pl Fix parsing "#0 'b0", bug256. 2014-03-11 19:07:58 -04:00
t_parse_delay.v Fix parsing "#0 'b0", bug256. 2014-03-11 19:07:58 -04:00
t_pipe_exit_bad.pf
t_pipe_exit_bad.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_pipe_filter_inc.vh
t_pipe_filter.out Fix block comment not separating identifiers, bug311. 2011-01-11 18:46:21 -05:00
t_pipe_filter.pf
t_pipe_filter.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_pipe_filter.v
t_pp_circdef_bad.pl Fix hang on recursive substitution `defines, bug443. 2012-02-23 21:54:37 -05:00
t_pp_circdef_bad.v Fix hang on recursive substitution `defines, bug443. 2012-02-23 21:54:37 -05:00
t_pp_display.pl
t_pp_display.v
t_pp_dupdef_bad.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_pp_dupdef.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_pp_dupdef.v
t_pp_lib_inc.vh
t_pp_lib_library.v
t_pp_lib.pl
t_pp_lib.v
t_pp_misdef_bad.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_pp_misdef_bad.v
t_pp_pragmas.pl
t_pp_pragmas.v Tests: default_nettype is ok 2012-03-24 15:15:32 -04:00
t_pp_underline_bad.pl
t_pp_underline_bad.v
t_preproc_def09.out Fix block comment not separating identifiers, bug311. 2011-01-11 18:46:21 -05:00
t_preproc_def09.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_preproc_def09.v
t_preproc_dos.pl
t_preproc_ifdef.pl
t_preproc_ifdef.v
t_preproc_inc2.vh
t_preproc_inc3.vh
t_preproc_inc4.vh Copyright year update 2011-01-01 18:21:19 -05:00
t_preproc_inc_bad.pl Fix wrong filename on include file errors, bug289 2010-09-28 09:33:59 -04:00
t_preproc_inc_bad.v Fix wrong filename on include file errors, bug289 2010-09-28 09:33:59 -04:00
t_preproc_inc_inc_bad.vh Fix wrong filename on include file errors, bug289 2010-09-28 09:33:59 -04:00
t_preproc_kwd.pl
t_preproc_kwd.v IEEE 1800-2012 is now the default language. This adds 4 new keywords and updates the svdpi.h and vpi_user.h header files. 2013-02-26 23:01:19 -05:00
t_preproc_noline.out Add -P to suppress `line and blanks with preprocessing, bug781. 2014-06-06 20:22:20 -04:00
t_preproc_noline.pl Add -P to suppress `line and blanks with preprocessing, bug781. 2014-06-06 20:22:20 -04:00
t_preproc_noline.v Add -P to suppress `line and blanks with preprocessing, bug781. 2014-06-06 20:22:20 -04:00
t_preproc_psl_off.out Fix block comment not separating identifiers, bug311. 2011-01-11 18:46:21 -05:00
t_preproc_psl_off.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_preproc_psl_on.out Fix block comment not separating identifiers, bug311. 2011-01-11 18:46:21 -05:00
t_preproc_psl_on.pl PSL is no longer supported, please use System Verilog assertions. 2014-03-14 21:14:24 -04:00
t_preproc_psl.v
t_preproc_undefineall.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_preproc_undefineall.v
t_preproc.out Define SYSTEMVERILOG, SV_COV_START and other IEEE mandated predefines. 2013-01-16 19:11:56 -05:00
t_preproc.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_preproc.v Define SYSTEMVERILOG, SV_COV_START and other IEEE mandated predefines. 2013-01-16 19:11:56 -05:00
t_program.pl
t_program.v
t_psl_basic_cover.pl PSL is no longer supported, please use System Verilog assertions. 2014-03-14 21:14:24 -04:00
t_psl_basic_off.pl
t_psl_basic.pl PSL is no longer supported, please use System Verilog assertions. 2014-03-14 21:14:24 -04:00
t_psl_basic.v
t_repeat.pl
t_repeat.v
t_rnd.pl
t_rnd.v
t_savable.pl Add --savable to support model save/restore. 2012-08-26 21:19:43 -04:00
t_savable.v Fix tracing of package variables and real arrays. 2014-03-14 20:36:47 -04:00
t_select_bad_msb.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_select_bad_msb.v
t_select_bad_range2.pl Report SELRANGE warning for non-generate if, bug675. 2013-09-10 07:16:13 -04:00
t_select_bad_range2.v
t_select_bad_range.pl Report SELRANGE warning for non-generate if, bug675. 2013-09-10 07:16:13 -04:00
t_select_bad_range.v
t_select_bad_tri.pl
t_select_bad_tri.v
t_select_index2.pl Fix t/t_select_index2 test. 2013-10-11 21:29:54 -04:00
t_select_index2.v Report SELRANGE warning for non-generate if, bug675. 2013-09-10 07:16:13 -04:00
t_select_index.pl
t_select_index.v
t_select_lhs_oob2.pl
t_select_lhs_oob2.v
t_select_lhs_oob.pl
t_select_lhs_oob.v
t_select_little_pack.pl Fix LITENDIAN on unpacked structures, bug614. 2013-02-13 19:03:10 -05:00
t_select_little_pack.v Fix LITENDIAN on unpacked structures, bug614. 2013-02-13 19:03:10 -05:00
t_select_little.pl
t_select_little.v
t_select_loop.pl
t_select_loop.v
t_select_negative.pl
t_select_negative.v
t_select_param.pl
t_select_param.v
t_select_plus.pl
t_select_plus.v
t_select_plusloop.pl
t_select_plusloop.v
t_select_runtime_range.pl
t_select_runtime_range.v Fix coredump on undriven vector[-1]. 2014-04-29 21:09:44 -04:00
t_select_set.pl
t_select_set.v
t_stream2.pl Support streaming operators, bug649. 2014-04-09 20:29:35 -04:00
t_stream2.v Support streaming operators, bug649. 2014-04-09 20:29:35 -04:00
t_stream3.pl Support streaming operators, bug649. 2014-04-09 20:29:35 -04:00
t_stream3.v Support streaming operators, bug649. 2014-04-09 20:29:35 -04:00
t_stream.pl Support streaming operators, bug649. 2014-04-09 20:29:35 -04:00
t_stream.v Support streaming operators, bug649. 2014-04-09 20:29:35 -04:00
t_struct_array.pl Fix array of struct references giving false error, bug566. 2012-11-03 09:17:42 -04:00
t_struct_array.v Fix array of struct references giving false error, bug566. 2012-11-03 09:17:42 -04:00
t_struct_init_trace.pl Fix tracing of packed structs, bug705. 2013-12-14 16:51:08 -05:00
t_struct_init.pl MAJOR: Support packed structures and unions, bug181. 2012-07-29 10:16:20 -04:00
t_struct_init.v Fix pattern assignment to conditionals, bug769. 2014-06-06 21:52:16 -04:00
t_struct_nest.pl Fix nested union crash, bug643. 2013-05-10 21:02:48 -04:00
t_struct_nest.v Fix nested union crash, bug643. 2013-05-10 21:02:48 -04:00
t_struct_notfound_bad.pl MAJOR: Support packed structures and unions, bug181. 2012-07-29 10:16:20 -04:00
t_struct_notfound_bad.v MAJOR: Support packed structures and unions, bug181. 2012-07-29 10:16:20 -04:00
t_struct_packed_sysfunct.pl MAJOR: Support packed structures and unions, bug181. 2012-07-29 10:16:20 -04:00
t_struct_packed_sysfunct.v Support , and related functions, bug448. 2013-01-20 12:19:22 -05:00
t_struct_packed_value_list.pl Support '{} assignment pattern on structures, part of bug355. 2012-08-12 15:15:21 -04:00
t_struct_packed_value_list.v Support '{} assignment pattern on structures, part of bug355. 2012-08-12 15:15:21 -04:00
t_struct_packed_write_read.pl MAJOR: Support packed structures and unions, bug181. 2012-07-29 10:16:20 -04:00
t_struct_packed_write_read.v Tests: Misc fixes from struct branch. 2012-07-28 14:05:30 -04:00
t_struct_port.pl MAJOR: Support packed structures and unions, bug181. 2012-07-29 10:16:20 -04:00
t_struct_port.v Tests: Misc fixes from struct branch. 2012-07-28 14:05:30 -04:00
t_struct_portsel.pl Fix complete selection of array ports 2013-02-02 16:52:08 -05:00
t_struct_portsel.v Fix complete selection of array ports 2013-02-02 16:52:08 -05:00
t_struct_unpacked_bad.pl Add UNPACKED warning to convert unpacked structs. 2013-10-28 20:41:05 -04:00
t_struct_unpacked_bad.v Add UNPACKED warning to convert unpacked structs. 2013-10-28 20:41:05 -04:00
t_struct_unpacked.pl Add UNPACKED warning to convert unpacked structs. 2013-10-28 20:41:05 -04:00
t_struct_unpacked.v Add UNPACKED warning to convert unpacked structs. 2013-10-28 20:41:05 -04:00
t_sv_bus_mux_demux.pl tests: Add unsupported as target type; additional tests 2012-03-24 11:10:17 -04:00
t_sv_bus_mux_demux.v Tests: Added additional SystemVerilog tests. 2012-03-20 19:28:35 -04:00
t_sv_conditional.pl Tests: Add t_array_query, t_sv_conditional, bug473 2012-04-12 18:15:39 -04:00
t_sv_conditional.v Tests: Add t_array_query, t_sv_conditional, bug473 2012-04-12 18:15:39 -04:00
t_sv_cpu.pl Tests: Add t_sv_cpu, bug473. By Jeremy Bennett. 2012-05-16 18:38:01 -04:00
t_sv_cpu.v Tests: Add t_sv_cpu, bug473. By Jeremy Bennett. 2012-05-16 18:38:01 -04:00
t_sv_enum_type_methods.pl Tests: Add t_sv_enum_type_methods, bug515. 2012-05-21 18:39:45 -04:00
t_sv_enum_type_methods.v Tests: Add t_sv_enum_type_methods, bug515. 2012-05-21 18:39:45 -04:00
t_sys_file_autoflush.pl
t_sys_file_basic_input.dat Fix ordering of , msg1229. 2013-09-07 16:43:43 -04:00
t_sys_file_basic.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_sys_file_basic.v Fix ordering of , msg1229. 2013-09-07 16:43:43 -04:00
t_sys_file_scan_input.dat
t_sys_file_scan.pl
t_sys_file_scan.v Portability fixes for gcc 4.6.3 2012-05-10 22:04:53 -04:00
t_sys_plusargs_bad.pl
t_sys_plusargs_bad.v
t_sys_plusargs.pl
t_sys_plusargs.v
t_sys_rand.pl
t_sys_rand.v
t_sys_readmem_b_8.mem
t_sys_readmem_b.mem
t_sys_readmem_bad_addr.mem
t_sys_readmem_bad_addr.pl
t_sys_readmem_bad_addr.v Maintain little endian indication for multidimensional arrays 2013-01-17 23:21:07 -05:00
t_sys_readmem_bad_digit.mem
t_sys_readmem_bad_digit.pl
t_sys_readmem_bad_digit.v Maintain little endian indication for multidimensional arrays 2013-01-17 23:21:07 -05:00
t_sys_readmem_bad_end.mem
t_sys_readmem_bad_end.pl
t_sys_readmem_bad_end.v Maintain little endian indication for multidimensional arrays 2013-01-17 23:21:07 -05:00
t_sys_readmem_bad_notfound.pl
t_sys_readmem_bad_notfound.v Maintain little endian indication for multidimensional arrays 2013-01-17 23:21:07 -05:00
t_sys_readmem_h.mem
t_sys_readmem.pl
t_sys_readmem.v Maintain little endian indication for multidimensional arrays 2013-01-17 23:21:07 -05:00
t_sys_sformat_noopt.pl
t_sys_sformat.pl
t_sys_sformat.v Support 'real' numbers and related functions. 2011-07-24 15:01:51 -04:00
t_sys_system.pl Support . 2011-11-20 02:01:48 -05:00
t_sys_system.v Support . 2011-11-20 02:01:48 -05:00
t_sys_time.pl
t_sys_time.v
t_table_fsm.pl
t_table_fsm.v
t_trace_cat_renew_0000.out Fix opening a VerilatedVcdC file multiple times, msg1021. 2013-02-23 21:10:25 -05:00
t_trace_cat_renew_0100.out Fix opening a VerilatedVcdC file multiple times, msg1021. 2013-02-23 21:10:25 -05:00
t_trace_cat_renew.out Fix opening a VerilatedVcdC file multiple times, msg1021. 2013-02-23 21:10:25 -05:00
t_trace_cat_renew.pl Fix opening a VerilatedVcdC file multiple times, msg1021. 2013-02-23 21:10:25 -05:00
t_trace_cat_reopen_0000.out Fix opening a VerilatedVcdC file multiple times, msg1021. 2013-02-23 21:10:25 -05:00
t_trace_cat_reopen_0100.out Fix opening a VerilatedVcdC file multiple times, msg1021. 2013-02-23 21:10:25 -05:00
t_trace_cat_reopen.out Fix opening a VerilatedVcdC file multiple times, msg1021. 2013-02-23 21:10:25 -05:00
t_trace_cat_reopen.pl Fix opening a VerilatedVcdC file multiple times, msg1021. 2013-02-23 21:10:25 -05:00
t_trace_cat.cpp Fix t_trace_cat test, bug654. 2013-06-03 19:08:15 -04:00
t_trace_cat.out Fix opening a VerilatedVcdC file multiple times, msg1021. 2013-02-23 21:10:25 -05:00
t_trace_cat.pl Fix opening a VerilatedVcdC file multiple times, msg1021. 2013-02-23 21:10:25 -05:00
t_trace_cat.v Fix opening a VerilatedVcdC file multiple times, msg1021. 2013-02-23 21:10:25 -05:00
t_trace_complex_params.out Fix tracing of packed arrays without --trace-structs, bug742. 2014-04-15 20:20:45 -04:00
t_trace_complex_params.pl Add --no-trace-params. 2014-03-13 20:08:43 -04:00
t_trace_complex_structs.out Fix tracing of packed arrays without --trace-structs, bug742. 2014-04-15 20:20:45 -04:00
t_trace_complex_structs.pl Add --no-trace-params. 2014-03-13 20:08:43 -04:00
t_trace_complex.out Fix tracing of packed arrays without --trace-structs, bug742. 2014-04-15 20:20:45 -04:00
t_trace_complex.pl Add --trace-structs to show struct names, bug673. 2013-12-14 19:13:31 -05:00
t_trace_complex.v Fix tracing of packed arrays without --trace-structs, bug742. 2014-04-15 20:20:45 -04:00
t_trace_ena_cc.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_trace_ena_sc.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_trace_ena_sp.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_trace_ena.v Support 'real' numbers and related functions. 2011-07-24 15:01:51 -04:00
t_trace_off_cc.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_trace_off_sc.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_trace_off_sp.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_trace_public_func.cpp Add Emacs indentation line. No functional change 2012-04-12 21:08:20 -04:00
t_trace_public_func.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_trace_public_sig.cpp Add Emacs indentation line. No functional change 2012-04-12 21:08:20 -04:00
t_trace_public_sig.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_trace_public.out
t_trace_public.v
t_tri_array_bufif.pl Fix concatenates and vectored bufif1, bug326. 2011-02-23 21:21:59 -05:00
t_tri_array_bufif.v Fix concatenates and vectored bufif1, bug326. 2011-02-23 21:21:59 -05:00
t_tri_dangle.pl Fix internal error on non-inlined inout pins. 2011-02-07 19:15:58 -05:00
t_tri_dangle.v Fix internal error on non-inlined inout pins. 2011-02-07 19:15:58 -05:00
t_tri_eqcase.pl IMPORTANT: Rewrite tristate handling, tri0, tri1, etc. 2012-04-21 21:45:28 -04:00
t_tri_eqcase.v IMPORTANT: Rewrite tristate handling, tri0, tri1, etc. 2012-04-21 21:45:28 -04:00
t_tri_gate_bufif0.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_tri_gate_bufif1.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_tri_gate_cond.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_tri_gate_nmos.pl Support nmos and pmos, bug488. 2012-04-23 20:13:07 -04:00
t_tri_gate_notif0.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_tri_gate_notif1.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_tri_gate_pmos.pl Support nmos and pmos, bug488. 2012-04-23 20:13:07 -04:00
t_tri_gate.cpp Internals: Misc tristate merges. Pins renamed but... No functional change intended. 2012-05-07 23:43:19 -04:00
t_tri_gate.v Support nmos and pmos, bug488. 2012-04-23 20:13:07 -04:00
t_tri_gen.pl Fix generated inouts with duplicated modules, bug498. 2012-04-27 19:41:13 -04:00
t_tri_gen.v Fix generated inouts with duplicated modules, bug498. 2012-04-27 19:41:13 -04:00
t_tri_graph.pl Fix output with select hitting tristate, bug514. 2012-05-15 19:26:20 -04:00
t_tri_graph.v Fix output with select hitting tristate, bug514. 2012-05-15 19:26:20 -04:00
t_tri_ifbegin.pl Fix tristate bug512, broken with tristate commit. 2012-05-09 20:34:15 -04:00
t_tri_ifbegin.v Fix tristate bug512, broken with tristate commit. 2012-05-09 20:34:15 -04:00
t_tri_inout2.pl IMPORTANT: Rewrite tristate handling, tri0, tri1, etc. 2012-04-21 21:45:28 -04:00
t_tri_inout2.v IMPORTANT: Rewrite tristate handling, tri0, tri1, etc. 2012-04-21 21:45:28 -04:00
t_tri_inout.cpp Add Emacs indentation line. No functional change 2012-04-12 21:08:20 -04:00
t_tri_inout.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_tri_inout.v IMPORTANT: Rewrite tristate handling, tri0, tri1, etc. 2012-04-21 21:45:28 -04:00
t_tri_pull2_bad.pl Spelling fixes 2013-02-20 21:51:39 -05:00
t_tri_pull2_bad.v tests: Add unsupported as target type; additional tests 2012-03-24 11:10:17 -04:00
t_tri_pull01.pl IMPORTANT: Rewrite tristate handling, tri0, tri1, etc. 2012-04-21 21:45:28 -04:00
t_tri_pull01.v Fix 'output tri0' 2001 declaration; Var characteristics must be attributes 2012-04-24 07:45:02 -04:00
t_tri_pull_bad.pl Spelling fixes 2013-02-20 21:51:39 -05:00
t_tri_pull_bad.v tests: Add unsupported as target type; additional tests 2012-03-24 11:10:17 -04:00
t_tri_pullup.cpp Merge from Tristate branch, part 1 of 2. No functional change intended 2012-04-21 19:30:08 -04:00
t_tri_pullup.pl Tests: Remove debug 2012-02-04 20:00:36 -05:00
t_tri_pullup.v
t_tri_select_unsized.pl Tristate: Major rework to support Z tieoffs, bug499, bug510. 2012-05-08 21:53:22 -04:00
t_tri_select_unsized.v Tristate: Major rework to support Z tieoffs, bug499, bug510. 2012-05-08 21:53:22 -04:00
t_tri_select.cpp Internals: Misc tristate merges. Pins renamed but... No functional change intended. 2012-05-07 23:43:19 -04:00
t_tri_select.pl Tests: Remove debug 2012-02-04 20:00:36 -05:00
t_tri_select.v Internals: Misc tristate merges. Pins renamed but... No functional change intended. 2012-05-07 23:43:19 -04:00
t_tri_unconn.pl Fix tristate connection to unconnected input, bug494, bug495. 2012-04-26 21:11:48 -04:00
t_tri_unconn.v Tristate: Major rework to support Z tieoffs, bug499, bug510. 2012-05-08 21:53:22 -04:00
t_tri_various.pl IMPORTANT: Rewrite tristate handling, tri0, tri1, etc. 2012-04-21 21:45:28 -04:00
t_tri_various.v Internals: Misc tristate merges. Pins renamed but... No functional change intended. 2012-05-07 23:43:19 -04:00
t_typedef_port.pl
t_typedef_port.v
t_typedef_signed.pl Fix signed array warning, bug456. 2012-03-12 20:29:00 -04:00
t_typedef_signed.v Fix signed array warning, bug456. 2012-03-12 20:29:00 -04:00
t_typedef.pl
t_typedef.v
t_udp_bad.pl Fix duplicate warnings/errors, bug516. 2012-05-21 21:31:52 -04:00
t_udp_lint.pl
t_udp_noname.pl Tests: Add t_udp_noname, bug468 2012-04-24 20:43:15 -04:00
t_udp_noname.v Tests: Add t_udp_noname, bug468 2012-04-24 20:43:15 -04:00
t_udp.v
t_uniqueif_fail1.pl Add assertions on 'unique if', bug725. 2014-03-16 21:38:29 -04:00
t_uniqueif_fail2.pl Add assertions on 'unique if', bug725. 2014-03-16 21:38:29 -04:00
t_uniqueif_fail3.pl Add assertions on 'unique if', bug725. 2014-03-16 21:38:29 -04:00
t_uniqueif_fail4.pl Add assertions on 'unique if', bug725. 2014-03-16 21:38:29 -04:00
t_uniqueif.pl Add assertions on 'unique if', bug725. 2014-03-16 21:38:29 -04:00
t_uniqueif.v Add assertions on 'unique if', bug725. 2014-03-16 21:38:29 -04:00
t_unopt_array.pl Fix change detections on arrays. 2012-04-09 20:17:51 -04:00
t_unopt_array.v Fix change detections on arrays. 2012-04-09 20:17:51 -04:00
t_unopt_bound.pl Fix UNOPTFLAT circular array bounds crossing, bug630. 2013-03-08 19:25:20 -05:00
t_unopt_bound.v Fix UNOPTFLAT circular array bounds crossing, bug630. 2013-03-08 19:25:20 -05:00
t_unopt_combo_bad.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_unopt_combo_isolate.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_unopt_combo.pl
t_unopt_combo.v
t_unopt_converge_print_bad.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_unopt_converge_run_bad.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_unopt_converge_unopt_bad.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_unopt_converge.v
t_unoptflat_simple_2_bad.pl Order initial statements based on variables used. Merge from bug683 branch. 2014-04-01 22:01:25 -04:00
t_unoptflat_simple_2.v Add --report-unoptflat, bug611. 2013-02-26 22:26:47 -05:00
t_unoptflat_simple_3_bad.pl Add --report-unoptflat, bug611. 2013-02-26 22:26:47 -05:00
t_unoptflat_simple_3.v Add --report-unoptflat, bug611. 2013-02-26 22:26:47 -05:00
t_unoptflat_simple_bad.pl Add --report-unoptflat, bug611. 2013-02-26 22:26:47 -05:00
t_unoptflat_simple.v Add --report-unoptflat, bug611. 2013-02-26 22:26:47 -05:00
t_unroll_signed.pl
t_unroll_signed.v Support loop unrolling on width mismatches, bug 333 2011-03-12 07:45:04 -05:00
t_vams_basic.pl With "--language VAMS" support a touch of Verilog AMS. 2011-11-25 00:49:38 -05:00
t_vams_basic.v Support V-AMS wreal 2011-11-27 10:31:06 -05:00
t_vams_wreal.pl Fix parameter real conversion from integer. 2013-07-29 22:03:47 -04:00
t_vams_wreal.v Fix parameter real conversion from integer. 2013-07-29 22:03:47 -04:00
t_var_bad_hide2.pl Internals: Add warnMore() for all continued messages. 2012-05-21 21:24:17 -04:00
t_var_bad_hide2.v Suppress VARHIDDEN on dpi import arguments. 2011-11-27 12:03:22 -05:00
t_var_bad_hide.pl Avoid -wall 2010-12-26 15:26:04 -05:00
t_var_bad_hide.v
t_var_bad_sameas.pl driver: Sort results. Merge from dot. 2012-07-19 21:02:36 -04:00
t_var_bad_sameas.v
t_var_bad_sv.pl
t_var_bad_sv.v
t_var_const_bad.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_var_const_bad.v Support 'const' variables in limited cases; similar to enums. 2011-07-02 12:45:26 -04:00
t_var_const.pl Support 'const' variables in limited cases; similar to enums. 2011-07-02 12:45:26 -04:00
t_var_const.v Fix array assignment from const var, bug693. 2013-10-31 22:39:26 -04:00
t_var_dotted_inl0.pl
t_var_dotted_inl1.pl
t_var_dotted_inl2.pl
t_var_dotted.v Tests: default_nettype is ok 2012-03-24 15:15:32 -04:00
t_var_escape.out Tests: fix driver.pl not tracing time 0, bug536. 2012-07-24 18:48:51 -04:00
t_var_escape.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_var_escape.v
t_var_in_assign_bad.pl Add ASSIGNIN as suppressable error. 2011-10-25 18:57:49 -04:00
t_var_in_assign_bad.v
t_var_in_assign.pl Add ASSIGNIN as suppressable error. 2011-10-25 18:57:49 -04:00
t_var_in_assign.v Add ASSIGNIN as suppressable error. 2011-10-25 18:57:49 -04:00
t_var_init.pl
t_var_init.v
t_var_life.pl Tests: file_grep prints failing expected value when possible. 2012-05-11 18:24:49 -04:00
t_var_life.v
t_var_local.pl
t_var_local.v
t_var_nonamebegin.out Tests: fix driver.pl not tracing time 0, bug536. 2012-07-24 18:48:51 -04:00
t_var_nonamebegin.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_var_nonamebegin.v
t_var_notfound_bad.pl Internals: Move variable referencing into LinkDot in support of structs. 2012-07-24 06:26:35 -04:00
t_var_notfound_bad.v Internals: Move variable referencing into LinkDot in support of structs. 2012-07-24 06:26:35 -04:00
t_var_outoforder.pl
t_var_outoforder.v
t_var_pins_cc.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_var_pins_sc1.pl Add sc_bv attribute to force bit vectors, bug402. 2011-10-26 08:57:27 -04:00
t_var_pins_sc2.pl Add sc_bv attribute to force bit vectors, bug402. 2011-10-26 08:57:27 -04:00
t_var_pins_sc32.pl Add sc_bv attribute to force bit vectors, bug402. 2011-10-26 08:57:27 -04:00
t_var_pins_sc64.pl Add sc_bv attribute to force bit vectors, bug402. 2011-10-26 08:57:27 -04:00
t_var_pins_sc_biguint.pl Add --pins-sc-uint and --pins-sc-biguint, bug638. 2013-04-26 21:02:32 -04:00
t_var_pins_sc_uint_biguint.pl Add --pins-sc-uint and --pins-sc-biguint, bug638. 2013-04-26 21:02:32 -04:00
t_var_pins_sc_uint.pl Add --pins-sc-uint and --pins-sc-biguint, bug638. 2013-04-26 21:02:32 -04:00
t_var_pins_scui.pl Add sc_bv attribute to force bit vectors, bug402. 2011-10-26 08:57:27 -04:00
t_var_pinsizes.cpp Add --pins-sc-uint and --pins-sc-biguint, bug638. 2013-04-26 21:02:32 -04:00
t_var_pinsizes.v Add --pins-sc-uint and --pins-sc-biguint, bug638. 2013-04-26 21:02:32 -04:00
t_var_port_bad.pl
t_var_port_bad.v
t_var_rsvd_bad.pl Change SYMRSVDWORD to print as warning rather than error. 2014-05-28 07:24:02 -04:00
t_var_rsvd_port.pl
t_var_rsvd_port.v
t_var_rsvd.pl
t_var_rsvd.v Fix reporting struct members as reserved words, bug741. 2014-04-15 19:35:44 -04:00
t_var_set_link.pl
t_var_set_link.v
t_var_tieout.pl Fix segfault on SystemVerilog "output wire foo=0", bug291. 2010-10-04 07:48:09 -04:00
t_var_tieout.v Fix segfault on SystemVerilog "output wire foo=0", bug291. 2010-10-04 07:48:09 -04:00
t_var_types_bad.pl Internals: Have V3WidthSel use only dtypes for select promotion. 2013-01-13 14:49:53 -05:00
t_var_types_bad.v Tests: Bit extraction from non-logic types 2012-03-04 17:17:57 -05:00
t_var_types.pl
t_var_types.v Tests 2012-03-06 20:12:47 -05:00
t_var_vec_sel.pl Fix array extraction of implicit vars, bug601. 2013-01-09 19:00:12 -05:00
t_var_vec_sel.v Fix array extraction of implicit vars, bug601. 2013-01-09 19:00:12 -05:00
t_vlt_warn.pl tests: use verilator_flags and skip more widely 2011-10-12 23:03:53 -04:00
t_vlt_warn.v Vlt lint off now has optional msgname 2010-12-30 06:58:02 -05:00
t_vlt_warn.vlt Vlt lint off now has optional msgname 2010-12-30 06:58:02 -05:00
t_vpi_get.cpp Fix vpi_get of vpiSize, bug680. 2013-10-13 20:05:57 -04:00
t_vpi_get.pl Fix vpi_get of vpiSize, bug680. 2013-10-13 20:05:57 -04:00
t_vpi_get.v Fix vpi_get of vpiSize, bug680. 2013-10-13 20:05:57 -04:00
t_vpi_memory.cpp Fix vpi_get of vpiSize, bug680. 2013-10-13 20:05:57 -04:00
t_vpi_memory.pl Fix vpi_get of vpiSize, bug680. 2013-10-13 20:05:57 -04:00
t_vpi_memory.v Fix vpi_get of vpiSize, bug680. 2013-10-13 20:05:57 -04:00
t_vpi_unimpl.cpp Fix vpi_get of vpiSize, bug680. 2013-10-13 20:05:57 -04:00
t_vpi_unimpl.pl cppcheck fixes 2013-02-03 13:27:37 -05:00
t_vpi_unimpl.v Support VPI product info, warning calls, etc, bug588. 2013-01-17 21:40:37 -05:00
t_vpi_var.cpp Fix vpi_get of vpiSize, bug680. 2013-10-13 20:05:57 -04:00
t_vpi_var.pl Fix vpi_get of vpiSize, bug680. 2013-10-13 20:05:57 -04:00
t_vpi_var.v Fix vpi_get of vpiSize, bug680. 2013-10-13 20:05:57 -04:00
t_wire_types.pl Support wires with data types, bug608. 2013-02-02 09:33:04 -05:00
t_wire_types.v Support wires with data types, bug608. 2013-02-02 09:33:04 -05:00
t_xml_first.pl Tests: Less sensitivity to XML change 2012-04-29 08:23:24 -04:00
t_xml_first.v Add very experimental --xml option 2012-03-20 16:13:10 -04:00
TestSimulator.h Copyright year update. 2014-01-06 19:28:57 -05:00
TestVpi.h Copyright year update. 2014-01-06 19:28:57 -05:00