2014-05-11 20:51:56 +00:00
|
|
|
|
Revision history for Verilator
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2016-09-19 21:54:42 +00:00
|
|
|
|
The contributors that suggested a given feature are shown in []. Thanks!
|
2012-03-08 04:02:24 +00:00
|
|
|
|
|
2018-10-02 22:42:53 +00:00
|
|
|
|
|
2018-12-01 20:07:31 +00:00
|
|
|
|
* Verilator 4.009 devel
|
|
|
|
|
|
2018-12-07 00:06:20 +00:00
|
|
|
|
*** Removed --trace-lxt2, use --trace-fst instead.
|
|
|
|
|
|
2018-12-11 00:11:35 +00:00
|
|
|
|
**** For --xml, add additional information, bug1372. [Jonathan Kimmitt]
|
2018-12-06 12:12:39 +00:00
|
|
|
|
|
2018-12-19 01:41:14 +00:00
|
|
|
|
**** Fix error when pattern assignment has too few elements, bug1378. [Viktor Tomov]
|
|
|
|
|
|
2018-12-01 20:07:31 +00:00
|
|
|
|
|
2018-12-01 19:52:21 +00:00
|
|
|
|
* Verilator 4.008 2018-12-01
|
2018-10-27 12:48:12 +00:00
|
|
|
|
|
2018-10-31 00:50:09 +00:00
|
|
|
|
*** Support "ref" and "const ref" pins and functions, bug1360. [Jake Longo]
|
|
|
|
|
|
2018-11-01 23:53:26 +00:00
|
|
|
|
*** In --xml-only show the original unmodified names, and add module_files
|
|
|
|
|
and cells similar to Verilog-Perl, msg2719. [Kanad Kanhere]
|
2018-10-30 22:17:37 +00:00
|
|
|
|
|
2018-12-01 15:12:10 +00:00
|
|
|
|
**** Add CONTASSREG error on continuous assignments to regs, bug1369. [Peter Gerst]
|
|
|
|
|
|
2018-11-26 22:58:18 +00:00
|
|
|
|
**** Add PROCASSWIRE error on behavioral assignments to wires, msg2737. [Neil Turton]
|
|
|
|
|
|
2018-11-28 23:25:34 +00:00
|
|
|
|
**** Add IMPORTSTAR warning on import::* inside $unit scope.
|
|
|
|
|
|
2018-10-30 11:35:30 +00:00
|
|
|
|
**** Fix --trace-lxt2 compile error on MinGW, msg2711. [HyungKi Jeong]
|
|
|
|
|
|
2018-11-01 23:03:52 +00:00
|
|
|
|
**** Fix hang on bad pattern keys, bug1364. [Matt Myers]
|
|
|
|
|
|
2018-11-27 00:09:08 +00:00
|
|
|
|
**** Fix crash due to cygwin bug in getline, bug1349. [Affe Mao]
|
|
|
|
|
|
2018-11-30 01:35:21 +00:00
|
|
|
|
**** Fix __Slow files getting compiled with OPT_FAST, bug1370. [Thomas Watts]
|
|
|
|
|
|
2018-10-27 12:48:12 +00:00
|
|
|
|
|
2018-10-27 12:27:10 +00:00
|
|
|
|
* Verilator 4.006 2018-10-27
|
2018-10-06 14:12:31 +00:00
|
|
|
|
|
2018-10-26 01:17:25 +00:00
|
|
|
|
** Add --pp-comments, msg2700. [Robert Henry]
|
|
|
|
|
|
2018-10-25 23:45:06 +00:00
|
|
|
|
** Add --dump-defines.
|
|
|
|
|
|
2018-10-08 11:21:22 +00:00
|
|
|
|
*** For --trace-fst, save enum decoding information, bug1358. [Sergi Granell]
|
|
|
|
|
(To visualize enumeration data you must use GTKwave 3.3.95 or newer.)
|
|
|
|
|
|
|
|
|
|
*** For --trace-fst, instead of *.fst.hier, put data into *.fst. [Tony Bybell]
|
|
|
|
|
|
2018-10-10 10:54:51 +00:00
|
|
|
|
**** Fix --trace-lxt2 compile error on MinGW, msg2667. [HyungKi Jeong]
|
|
|
|
|
|
2018-10-12 22:31:45 +00:00
|
|
|
|
**** Fix Windows .exe not found, bug1361. [Patrick Stewart]
|
|
|
|
|
|
2018-10-06 14:12:31 +00:00
|
|
|
|
|
2018-10-06 13:51:57 +00:00
|
|
|
|
* Verilator 4.004 2018-10-6
|
2018-09-17 10:21:24 +00:00
|
|
|
|
|
2018-10-02 22:42:53 +00:00
|
|
|
|
** Add GTKWave FST native tracing, bug1356. [Sergi Granell]
|
2018-10-05 22:47:46 +00:00
|
|
|
|
(Verilator developers need to pull the latest vcddiff.)
|
2018-10-02 22:42:53 +00:00
|
|
|
|
|
2018-09-23 19:09:47 +00:00
|
|
|
|
*** Support $past. [Dan Gisselquist]
|
|
|
|
|
|
2018-09-23 19:20:12 +00:00
|
|
|
|
*** Support restrict, bug1350. [Clifford Wolf]
|
|
|
|
|
|
2018-10-01 22:29:04 +00:00
|
|
|
|
*** Rename include/lxt2 to include/gtkwave.
|
2018-09-17 10:25:01 +00:00
|
|
|
|
|
2018-10-01 22:29:04 +00:00
|
|
|
|
**** Fix replication of 64-bit signal change detects.
|
2018-09-17 10:21:24 +00:00
|
|
|
|
|
2018-10-01 22:29:04 +00:00
|
|
|
|
**** Fix Mac OSX 10.13.6 / LLVM 9.1 compile issues, bug1348. [Kevin Kiningham]
|
|
|
|
|
|
|
|
|
|
**** Fix MinGW compile issues, msg2636. [HyungKi Jeong]
|
2018-09-28 12:36:37 +00:00
|
|
|
|
|
|
|
|
|
|
2018-09-16 21:02:50 +00:00
|
|
|
|
* Verilator 4.002 2018-09-16
|
2018-02-02 02:21:21 +00:00
|
|
|
|
|
2018-02-02 02:32:58 +00:00
|
|
|
|
** This is a major release. Any patches may require major rework to apply.
|
2018-07-23 00:54:28 +00:00
|
|
|
|
[Thanks everyone]
|
2018-02-02 02:32:58 +00:00
|
|
|
|
|
2018-07-23 00:54:28 +00:00
|
|
|
|
** Add multithreaded model generation.
|
2018-05-20 12:40:35 +00:00
|
|
|
|
|
2018-07-23 00:54:28 +00:00
|
|
|
|
** Add runtime arguments.
|
2018-02-02 02:32:58 +00:00
|
|
|
|
|
2018-08-28 10:41:17 +00:00
|
|
|
|
** Add GTKWave LXT2 native tracing, bug1333. [Yu Sheng Lin]
|
|
|
|
|
|
2018-08-26 11:07:01 +00:00
|
|
|
|
** Note $random has new algorithm; results may vary vs. previous versions.
|
|
|
|
|
|
2018-02-28 11:58:41 +00:00
|
|
|
|
*** Better optimize large always block splitting, bug1244. [John Coiner]
|
|
|
|
|
|
2018-06-23 21:07:22 +00:00
|
|
|
|
*** Add new reloop optimization for repetitive assignment compression.
|
|
|
|
|
|
2018-08-25 17:49:37 +00:00
|
|
|
|
*** Support string.atoi and similar methods, bug1289. [Joel Holdsworth]
|
|
|
|
|
|
2018-07-23 00:54:28 +00:00
|
|
|
|
**** Fix internals to be C++ null-pointer-check clean.
|
|
|
|
|
|
2018-02-02 02:24:41 +00:00
|
|
|
|
**** Fix internals to avoid 'using namespace std'.
|
|
|
|
|
|
2018-06-12 09:22:30 +00:00
|
|
|
|
**** Fix Verilation performance issues, bug1316. [John Coiner]
|
|
|
|
|
|
2018-06-22 23:46:27 +00:00
|
|
|
|
**** Fix clocker attributes to not propagate on concats. [John Coiner]
|
|
|
|
|
|
2018-08-31 00:05:13 +00:00
|
|
|
|
**** Fix first clock edge and --x-initial-edge, bug1327. [Rupert Swarbrick]
|
|
|
|
|
|
2018-09-12 23:19:40 +00:00
|
|
|
|
**** Fix compile error on tracing of string arrays, bug1338. [Iztok Jeras]
|
|
|
|
|
|
|
|
|
|
**** Fix number parsing with newline after radix, bug1340. [George Cuan]
|
2018-09-08 05:16:07 +00:00
|
|
|
|
|
2018-09-12 23:20:15 +00:00
|
|
|
|
**** Fix string ?: conditional type resolution, bug1345. [Iztok Jeras]
|
|
|
|
|
|
2018-09-14 10:56:59 +00:00
|
|
|
|
**** Fix duplicate symbol error on generate tri, bug1347. [Tomas Dzetkulic]
|
|
|
|
|
|
2018-06-12 09:22:30 +00:00
|
|
|
|
|
2018-08-22 22:09:06 +00:00
|
|
|
|
* Verilator 3.926 2018-08-22
|
2018-06-13 01:22:01 +00:00
|
|
|
|
|
2018-06-15 11:13:18 +00:00
|
|
|
|
**** Add OBJCACHE envvar support to examples and generated Makefiles.
|
|
|
|
|
|
2018-08-21 22:09:40 +00:00
|
|
|
|
**** Change MODDUP errors to warnings, msg2588. [Marshal Qiao]
|
|
|
|
|
|
2018-06-21 12:19:59 +00:00
|
|
|
|
**** Fix define argument stringification (`"), broke since 3.914. [Joe DErrico]
|
|
|
|
|
|
2018-06-26 11:11:56 +00:00
|
|
|
|
**** Fix to ignore Unicode UTF-8 BOM sequences, msg2576. [HyungKi Jeong]
|
|
|
|
|
|
2018-06-28 22:55:36 +00:00
|
|
|
|
**** Fix std:: build error, bug1322.
|
|
|
|
|
|
2018-08-21 22:09:40 +00:00
|
|
|
|
**** Fix function inlining inside certain while loops, bug1330. [Julien Margetts]
|
2018-07-23 22:57:34 +00:00
|
|
|
|
|
2018-06-13 01:22:01 +00:00
|
|
|
|
|
2018-06-13 01:15:14 +00:00
|
|
|
|
* Verilator 3.924 2018-06-12
|
2018-03-17 16:01:59 +00:00
|
|
|
|
|
2018-05-20 13:12:29 +00:00
|
|
|
|
*** Renamed --profile-cfuncs to --prof-cfuncs.
|
|
|
|
|
|
2018-04-05 01:03:43 +00:00
|
|
|
|
**** Report interface ports connected to wrong interface, bug1294. [Todd Strader]
|
|
|
|
|
|
2018-05-19 11:52:07 +00:00
|
|
|
|
**** When tracing, use scalars on single bit arrays to appease vcddiff.
|
|
|
|
|
|
2018-03-30 00:10:27 +00:00
|
|
|
|
**** Fix parsing "output signed" in V2K port list, msg2540. [James Jung]
|
|
|
|
|
|
2018-05-09 22:32:12 +00:00
|
|
|
|
**** Fix parsing error on bad missing #, bug1308. [Dan Kirkham]
|
|
|
|
|
|
2018-06-08 12:01:22 +00:00
|
|
|
|
**** Fix $clog2 to be in verilog 2005, bug1319. [James Hutchinson]
|
|
|
|
|
|
2018-02-02 02:21:21 +00:00
|
|
|
|
|
2018-03-17 15:56:37 +00:00
|
|
|
|
* Verilator 3.922 2018-03-17
|
2018-02-02 01:14:40 +00:00
|
|
|
|
|
2018-03-13 02:26:34 +00:00
|
|
|
|
** Support IEEE 1800-2017 as default language.
|
|
|
|
|
|
2018-02-26 09:25:07 +00:00
|
|
|
|
*** Support trig functions ($sin() etc), bug1281. [Patrick Stewart]
|
|
|
|
|
|
2018-03-09 04:40:19 +00:00
|
|
|
|
*** Support calling system functions as tasks, bug1285. [Joel Holdsworth]
|
|
|
|
|
|
2018-03-11 14:37:20 +00:00
|
|
|
|
*** Support assert properties, bug785, bug1290. [John Coiner, et al]
|
|
|
|
|
|
2018-03-12 20:44:01 +00:00
|
|
|
|
*** Support $writememh. [John Coiner]
|
|
|
|
|
|
2018-03-10 17:18:19 +00:00
|
|
|
|
*** Add --no-debug-leak to reduce memory use under debug. [John Coiner]
|
|
|
|
|
|
2018-03-15 12:59:52 +00:00
|
|
|
|
**** Fix severe runtime performance bug in certain foreach loops. [John Coiner]
|
|
|
|
|
|
2018-03-10 17:52:11 +00:00
|
|
|
|
**** On convergence errors, show activity. [John Coiner]
|
|
|
|
|
|
2018-02-07 23:58:21 +00:00
|
|
|
|
**** Fix GCC 8.0 issues, bug1273.
|
|
|
|
|
|
2018-02-11 00:08:07 +00:00
|
|
|
|
**** Fix pullup/pulldowns on bit selects, bug1274. [Rob Stoddard]
|
|
|
|
|
|
2018-03-08 00:52:29 +00:00
|
|
|
|
**** Fix verilator_coverage --annotate-min, bug1284. [Tymoteusz Blazejczyk]
|
|
|
|
|
|
2018-03-09 03:43:29 +00:00
|
|
|
|
**** Fix quoting of quoted arguments. [John Coiner]
|
|
|
|
|
|
2018-02-02 01:14:40 +00:00
|
|
|
|
|
2018-02-02 01:09:58 +00:00
|
|
|
|
* Verilator 3.920 2018-02-01
|
2018-01-02 23:13:45 +00:00
|
|
|
|
|
2018-02-02 00:20:08 +00:00
|
|
|
|
** Moving forward, use the git "stable" branch to track the latest release,
|
|
|
|
|
and git "v#.###" tags for specific releases.
|
2018-02-02 00:18:00 +00:00
|
|
|
|
|
2018-01-31 12:33:10 +00:00
|
|
|
|
*** Support 'assume' similar to 'assert', bug1269. [Dan Gisselquist]
|
|
|
|
|
|
2018-01-30 00:07:49 +00:00
|
|
|
|
**** Fix tracing example file output, bug1268. [Enzo Chi]
|
|
|
|
|
|
2018-01-27 20:06:51 +00:00
|
|
|
|
**** Fix gate optimization out of memory, add --gate-stmts, bug1260. [Alex Solomatnikov]
|
|
|
|
|
|
2018-01-17 00:53:50 +00:00
|
|
|
|
**** Fix compile error on public real parameters by suppressing, bug1261. [Alex Solomatnikov]
|
|
|
|
|
|
2018-01-25 03:30:30 +00:00
|
|
|
|
**** Fix input-only tristate comparisons, bug1267. [Alexis G]
|
|
|
|
|
|
2018-01-31 12:29:14 +00:00
|
|
|
|
**** Fix missing edge type in xml output, msg2480. [Alexis G]
|
|
|
|
|
|
2018-02-02 01:07:46 +00:00
|
|
|
|
**** Fix compile error with --public and interface bind, bug1264. [Alexis G]
|
|
|
|
|
|
2018-01-19 02:25:43 +00:00
|
|
|
|
**** Remove c++filt, bug1265. [Stefan Wallentowitz]
|
|
|
|
|
|
2018-01-02 23:13:45 +00:00
|
|
|
|
|
2018-01-02 23:06:05 +00:00
|
|
|
|
* Verilator 3.918 2018-01-02
|
2017-11-27 23:50:14 +00:00
|
|
|
|
|
2017-12-09 16:52:35 +00:00
|
|
|
|
*** Workaround GCC/clang bug with huge compile times, bug1248.
|
|
|
|
|
|
2017-12-17 21:28:58 +00:00
|
|
|
|
*** Support DPI open arrays, bug909, bug1245. [David Pierce, Victor Besyakov]
|
|
|
|
|
|
2017-12-27 02:35:08 +00:00
|
|
|
|
*** Add INFINITELOOP warning, bug1254. [Alex Solomatnikov]
|
|
|
|
|
|
2017-12-03 03:10:58 +00:00
|
|
|
|
**** Support > 64 bit decimal $display.
|
|
|
|
|
|
2017-12-10 01:17:37 +00:00
|
|
|
|
**** Support DPI time and svLogicVal. [Victor Besyakov]
|
|
|
|
|
Note older version incorrectly assumed svBitVal even for logicals.
|
|
|
|
|
|
2017-12-08 00:57:11 +00:00
|
|
|
|
**** Support string len() method. [Victor Besyakov]
|
|
|
|
|
|
2017-12-14 00:49:37 +00:00
|
|
|
|
**** Add error if always_comb has sensitivity list. [Arjen Roodselaar]
|
|
|
|
|
|
2017-12-17 13:23:22 +00:00
|
|
|
|
**** Fix SystemC 2.3.2 compile error, bug1251. [Tymoteusz Blazejczyk]
|
|
|
|
|
|
2017-12-03 03:10:58 +00:00
|
|
|
|
**** Fix modport outputs being treated as inputs, bug1246. [Jeff Bush]
|
2017-11-29 00:11:41 +00:00
|
|
|
|
|
2017-12-07 02:29:10 +00:00
|
|
|
|
**** Fix false ALWCOMBORDER on interface references, bug1247. [Josh Redford]
|
|
|
|
|
|
2017-12-08 01:10:27 +00:00
|
|
|
|
**** Fix constant propagation across DPI imports of inout strings. [Victor Besyakov]
|
|
|
|
|
|
2017-12-14 00:42:49 +00:00
|
|
|
|
**** Fix resolving inline nested interface names, bug1250. [Arjen Roodselaar]
|
|
|
|
|
|
2017-11-27 23:50:14 +00:00
|
|
|
|
|
2017-11-25 20:42:11 +00:00
|
|
|
|
* Verilator 3.916 2017-11-25
|
2017-10-15 00:47:25 +00:00
|
|
|
|
|
2017-11-18 22:42:35 +00:00
|
|
|
|
*** Support self-recursive modules, bug659. [Sean Moore, et al]
|
|
|
|
|
|
2017-11-22 02:10:42 +00:00
|
|
|
|
*** Support $error/$warning in elaboration time blocks.
|
|
|
|
|
|
2017-11-23 15:17:56 +00:00
|
|
|
|
*** Support $size/$bits/etc on type references.
|
|
|
|
|
|
2017-11-23 19:55:32 +00:00
|
|
|
|
*** Add error when driving input-only modport, bug1110. [Trevor Elbourne]
|
|
|
|
|
|
|
|
|
|
*** Add BSSPACE and COLONPLUS lint warnings.
|
2017-10-15 14:36:50 +00:00
|
|
|
|
|
2017-11-06 02:47:55 +00:00
|
|
|
|
**** Detect MSB overflow when under VL_DEBUG, bug1238. [Junyi Xi]
|
|
|
|
|
|
2017-11-09 02:27:15 +00:00
|
|
|
|
**** Add data types to --xml. [Rui Terra]
|
|
|
|
|
|
2017-11-23 19:55:32 +00:00
|
|
|
|
**** Fix partial slicing with pattern assignments, bug991. [Johan Bjork]
|
2017-11-16 01:19:12 +00:00
|
|
|
|
|
2017-11-15 01:10:25 +00:00
|
|
|
|
**** Fix false unused warning on interfaces, bug1241. [Laurens van Dam]
|
|
|
|
|
|
2017-11-22 02:52:37 +00:00
|
|
|
|
**** Fix error on "unique case" with no cases.
|
|
|
|
|
|
2017-11-23 19:55:32 +00:00
|
|
|
|
**** Fix MacOS portability, bug1232. [Jeff Bush]
|
|
|
|
|
|
2017-10-15 14:36:50 +00:00
|
|
|
|
|
2017-10-14 20:20:17 +00:00
|
|
|
|
* Verilator 3.914 2017-10-14
|
2017-09-23 14:11:06 +00:00
|
|
|
|
|
2017-09-24 01:18:07 +00:00
|
|
|
|
** Added new examples/ directory with appropriate examples. This replaces the
|
|
|
|
|
old test_c and test_sc directories.
|
|
|
|
|
|
2017-10-02 01:31:40 +00:00
|
|
|
|
*** Add --getenv option for simplifying Makefiles.
|
|
|
|
|
|
|
|
|
|
*** Add --x-initial option for specifying initial value assignment behavior.
|
2017-09-23 22:03:39 +00:00
|
|
|
|
|
2017-10-05 22:18:11 +00:00
|
|
|
|
*** Add --no-relative-cfuncs and related default optimization, bug1224. [John Coiner]
|
|
|
|
|
|
2017-10-06 11:33:52 +00:00
|
|
|
|
*** Add /*verilator tag*/ for XML extraction applications. [Chris Randall]
|
|
|
|
|
|
2017-09-23 14:49:29 +00:00
|
|
|
|
**** The internal test_verilated test directory is moved to be part of test_regress.
|
|
|
|
|
|
2017-10-08 01:29:57 +00:00
|
|
|
|
**** The experimental VL_THREADED setting (only, not normal mode) now requires C++11.
|
|
|
|
|
|
2017-10-01 22:00:27 +00:00
|
|
|
|
**** Fix over-aggressive inlining, bug1223. [John Coiner]
|
|
|
|
|
|
2017-09-30 17:30:01 +00:00
|
|
|
|
**** Fix Ubuntu 17.10 issues, bug1223 partial. [John Coiner]
|
|
|
|
|
|
2017-10-01 14:21:27 +00:00
|
|
|
|
**** Fix compiler warning when WIDTH warning ignored on large compare.
|
|
|
|
|
|
2017-10-02 22:49:00 +00:00
|
|
|
|
**** Fix memory leak in VerilatedVcd dumps, bug1222 partial. [Shareef Jalloq]
|
|
|
|
|
|
2017-10-04 01:26:42 +00:00
|
|
|
|
**** Fix unnecessary Vdly variables, bug1224 partial. [John Coiner]
|
2017-10-02 22:49:00 +00:00
|
|
|
|
|
2017-10-05 01:27:34 +00:00
|
|
|
|
**** Fix conditional slices and add related optimizations.
|
|
|
|
|
|
2017-10-10 22:44:10 +00:00
|
|
|
|
**** Fix `` expansion of `defines, bug1225, bug1227, bug1228. [Odd Magne Reitan]
|
2017-10-07 18:01:18 +00:00
|
|
|
|
|
2017-10-10 01:08:50 +00:00
|
|
|
|
**** Fix -E duplicating output, bug1226. [Odd Magne Reitan]
|
|
|
|
|
|
2017-10-11 23:01:37 +00:00
|
|
|
|
**** Fix float-conversion warning, bug1229. [Robert Henry]
|
|
|
|
|
|
2017-10-14 16:56:16 +00:00
|
|
|
|
**** Fix MacOS portability, bug1230, bug1231. [Jeff Bush]
|
2017-10-14 13:00:39 +00:00
|
|
|
|
|
2017-09-23 14:11:06 +00:00
|
|
|
|
|
2017-09-23 14:04:52 +00:00
|
|
|
|
* Verilator 3.912 2017-09-23
|
2017-09-08 01:15:48 +00:00
|
|
|
|
|
2017-09-23 11:32:37 +00:00
|
|
|
|
** Verilated headers no longer "use namespace std;"
|
|
|
|
|
User's code without "std::" prefixes may need "use namespace std;" to compile.
|
|
|
|
|
|
2017-09-13 23:37:47 +00:00
|
|
|
|
*** Support or/and/xor array intrinsic methods, bug1210. [Mike Popoloski]
|
|
|
|
|
|
2017-09-21 01:04:59 +00:00
|
|
|
|
*** Support package export, bug1217. [Usuario Eda]
|
|
|
|
|
|
2017-09-12 23:34:10 +00:00
|
|
|
|
*** Fix ordering of arrayed cell wide connections, bug1202 partial. [Mike Popoloski]
|
|
|
|
|
|
2017-09-15 01:20:20 +00:00
|
|
|
|
**** Support module port parameters without defaults, bug 1213. [Mike Popoloski]
|
|
|
|
|
|
2017-09-18 02:52:57 +00:00
|
|
|
|
**** Add performance information to --stats file.
|
|
|
|
|
|
2017-09-19 01:36:18 +00:00
|
|
|
|
**** Simplify VL_CONST_W macro generation for faster compiles.
|
|
|
|
|
|
2017-09-13 23:09:49 +00:00
|
|
|
|
**** Fix LITENDIAN warning on arrayed cells, bug1202. [Mike Popoloski]
|
|
|
|
|
|
2017-09-12 22:53:57 +00:00
|
|
|
|
**** Fix enum ranges without colons, bug1204. [Mike Popoloski]
|
|
|
|
|
|
2017-09-13 23:27:59 +00:00
|
|
|
|
**** Fix GCC noreturn compile error, bug1209. [Mike Popoloski]
|
|
|
|
|
|
2017-09-13 23:47:11 +00:00
|
|
|
|
**** Fix constant function default parameters, bug1211. [Mike Popoloski]
|
|
|
|
|
|
2017-09-15 01:15:56 +00:00
|
|
|
|
**** Fix non-colon array of interface modports, bug1212. [Mike Popoloski]
|
|
|
|
|
|
2017-09-15 01:24:13 +00:00
|
|
|
|
**** Fix .name connections on interfaces, bug1214. [Mike Popoloski]
|
|
|
|
|
|
2017-09-20 00:04:45 +00:00
|
|
|
|
**** Fix wide array indices causing compile error.
|
|
|
|
|
|
2017-09-22 01:05:42 +00:00
|
|
|
|
**** Better optimize Shift-And, and replication constructs.
|
2017-09-20 00:56:17 +00:00
|
|
|
|
|
2017-09-08 01:15:48 +00:00
|
|
|
|
|
2017-09-08 01:10:22 +00:00
|
|
|
|
* Verilator 3.910 2017-09-07
|
2017-08-29 02:51:53 +00:00
|
|
|
|
|
2017-09-08 01:08:49 +00:00
|
|
|
|
*** SystemPerl mode (-sp-deprecated) has been removed.
|
|
|
|
|
|
2017-09-03 01:01:50 +00:00
|
|
|
|
**** Update keyword warnings to include C++11 and others.
|
|
|
|
|
|
|
|
|
|
|
2017-08-29 02:46:43 +00:00
|
|
|
|
* Verilator 3.908 2017-08-28
|
2017-06-22 22:36:29 +00:00
|
|
|
|
|
2017-07-05 22:51:06 +00:00
|
|
|
|
**** Support x in $readmem, bug1180. [Arthur Kahlich]
|
|
|
|
|
|
2017-08-11 23:07:47 +00:00
|
|
|
|
**** Support packed struct DPI imports, bug1190. [Rob Stoddard]
|
|
|
|
|
|
2017-07-06 23:07:23 +00:00
|
|
|
|
**** Fix GCC 6 warnings.
|
|
|
|
|
|
2017-07-07 11:50:45 +00:00
|
|
|
|
**** Fix compile error on unused VL_VALUEPLUSARGS_IW, bug1181. [Thomas J Whatson]
|
|
|
|
|
|
2017-07-13 00:08:32 +00:00
|
|
|
|
**** Fix undefined VL_POW_WWI. [Clifford Wolf]
|
|
|
|
|
|
2017-08-13 22:08:24 +00:00
|
|
|
|
**** Fix internal error on unconnected inouts, bug1187. [Rob Stoddard]
|
|
|
|
|
|
2016-03-02 00:14:04 +00:00
|
|
|
|
|
2017-06-22 22:31:22 +00:00
|
|
|
|
* Verilator 3.906 2017-06-22
|
2017-05-31 02:04:58 +00:00
|
|
|
|
|
2017-06-20 23:33:58 +00:00
|
|
|
|
*** Support set_time_unit/set_time_precision in C traces, msg2261.
|
|
|
|
|
|
2017-06-07 00:06:23 +00:00
|
|
|
|
*** Fix extract of packed array with non-zero LSB, bug1172. [James Pallister]
|
|
|
|
|
|
2017-06-05 11:56:59 +00:00
|
|
|
|
*** Fix shifts by more than 32-bit numbers, bug1174. [Clifford Wolf]
|
|
|
|
|
|
2017-06-06 00:30:01 +00:00
|
|
|
|
*** Fix power operator on wide constants, bug761. [Clifford Wolf]
|
|
|
|
|
|
2017-06-20 22:40:18 +00:00
|
|
|
|
*** Fix .* on interface pins, bug1176. [Maciej Piechotka]
|
|
|
|
|
|
2017-05-31 02:04:58 +00:00
|
|
|
|
|
2017-05-31 02:01:21 +00:00
|
|
|
|
* Verilator 3.904 2017-05-30
|
2017-04-02 12:49:31 +00:00
|
|
|
|
|
2017-05-09 12:05:21 +00:00
|
|
|
|
*** Fix non-cutable ordering loops on clock arrays, bug1009. [Todd Strader]
|
|
|
|
|
|
2017-05-02 23:16:54 +00:00
|
|
|
|
*** Support ports of array of reals, bug1154. [J Briquet]
|
|
|
|
|
|
|
|
|
|
*** Support arrayed parameter overrides, bug1153. [John Stevenson]
|
2017-04-28 10:10:14 +00:00
|
|
|
|
|
2017-05-19 02:41:43 +00:00
|
|
|
|
*** Support $value$plusargs with variables, bug1165. [Wesley Terpstra]
|
|
|
|
|
|
2017-05-10 23:05:42 +00:00
|
|
|
|
**** Support modport access to un-modport objects, bug1161. [Todd Strader]
|
2017-04-29 00:03:38 +00:00
|
|
|
|
|
2017-05-09 22:54:15 +00:00
|
|
|
|
**** Add stack trace when can't optimize function, bug1158. [Todd Strader]
|
|
|
|
|
|
2017-04-26 22:34:01 +00:00
|
|
|
|
**** Add warning on mis-sized literal, bug1156. [Todd Strader]
|
2017-04-02 12:49:31 +00:00
|
|
|
|
|
2017-05-19 02:49:17 +00:00
|
|
|
|
**** Fix interface functions returning wrong parameters, bug996. [Todd Strader]
|
|
|
|
|
|
2017-05-10 23:05:42 +00:00
|
|
|
|
**** Fix non-arrayed cells with interface arrays, bug1153. [John Stevenson]
|
|
|
|
|
|
2017-05-18 00:15:40 +00:00
|
|
|
|
**** Fix --assert with complex case statements, bug1164. [Enzo Chi]
|
|
|
|
|
|
2017-04-02 12:49:31 +00:00
|
|
|
|
|
2017-04-02 12:43:53 +00:00
|
|
|
|
* Verilator 3.902 2017-04-02
|
2017-01-27 03:41:05 +00:00
|
|
|
|
|
2017-02-09 12:43:43 +00:00
|
|
|
|
** Add -FI option to force includes,msg2146. [Amir Gonnen]
|
|
|
|
|
|
2017-02-09 23:33:18 +00:00
|
|
|
|
** Add --relative-includes. [Rob Stoddard]
|
|
|
|
|
|
2017-03-23 22:15:03 +00:00
|
|
|
|
*** Add error on duplicate pattern assignments, bug1145. [Johan Bjork]
|
|
|
|
|
|
2017-03-21 23:27:42 +00:00
|
|
|
|
**** Fix error on improperly widthed default function, bug984. [Todd Strader]
|
|
|
|
|
|
2017-01-27 03:41:32 +00:00
|
|
|
|
**** Fix 2009 localparam syntax, msg2139. [Galen Seitz]
|
|
|
|
|
|
2017-03-17 22:33:49 +00:00
|
|
|
|
**** Fix ugly interface-to-non-interface errors, bug1112. [Johan Bjork]
|
|
|
|
|
|
2017-02-13 23:11:40 +00:00
|
|
|
|
**** Fix LDFLAGS and CFLAGS not preserving order, bug1130. [Olof Kindgren]
|
|
|
|
|
|
2017-03-06 12:20:30 +00:00
|
|
|
|
**** Fix internal error on initializing parameter array, bug1131. [Jie Xu]
|
|
|
|
|
|
2017-03-16 00:03:53 +00:00
|
|
|
|
**** Fix internal error on interface arrays, bug1135. [John Stevenson]
|
|
|
|
|
|
2017-03-17 22:40:16 +00:00
|
|
|
|
**** Fix calling sformatf to display, and elab $displays, bug1139. [Johan Bjork]
|
|
|
|
|
|
2017-03-16 00:08:19 +00:00
|
|
|
|
**** Fix realpath compile issue on MSVC++, bug1141. [Miodrag Milanovic]
|
|
|
|
|
|
2017-03-21 23:19:28 +00:00
|
|
|
|
**** Fix missing error on interface size mismatch, bug1143. [Johan Bjork]
|
|
|
|
|
|
2017-03-28 23:55:20 +00:00
|
|
|
|
**** Fix error on parameters with dotted references, bug1146. [Johan Bjork]
|
|
|
|
|
|
2017-03-30 22:32:37 +00:00
|
|
|
|
**** Fix wreal not handling continuous assign, bug1150. [J Briquet]
|
|
|
|
|
|
2017-03-30 23:05:55 +00:00
|
|
|
|
**** Fix nested structure parameter selects, bug1150. [J Briquet]
|
|
|
|
|
|
2017-01-27 03:41:05 +00:00
|
|
|
|
|
2017-01-15 17:09:59 +00:00
|
|
|
|
* Verilator 3.900 2017-01-15
|
2016-11-25 15:55:28 +00:00
|
|
|
|
|
2017-01-15 17:09:59 +00:00
|
|
|
|
** Internal code changes for improved compatibility and performance.
|
|
|
|
|
|
|
|
|
|
*** Support old-style $display($time), bug467. [John Demme]
|
2017-01-10 00:19:21 +00:00
|
|
|
|
|
2016-12-21 22:43:19 +00:00
|
|
|
|
**** With --bbox-unsup, suppress desassign and mixed edges, bug1120. [Galen Seitz]
|
|
|
|
|
|
2016-12-21 23:23:14 +00:00
|
|
|
|
**** Fix parsing sensitivity with &&, bug934. [Luke Yang]
|
|
|
|
|
|
2016-11-30 01:40:58 +00:00
|
|
|
|
**** Fix internal error on double-for loop unrolling, bug1044. [Jan Egil Ruud]
|
|
|
|
|
|
2016-12-03 19:49:51 +00:00
|
|
|
|
**** Fix internal error on unique casez with --assert, bug1117. [Enzo Chi]
|
|
|
|
|
|
2017-01-06 23:44:37 +00:00
|
|
|
|
**** Fix bad code when tracing array of structs, bug1122. [Andrew Bardsley]
|
|
|
|
|
|
2016-11-25 15:55:28 +00:00
|
|
|
|
|
2016-11-25 15:35:45 +00:00
|
|
|
|
* Verilator 3.890 2016-11-25
|
2016-10-14 23:51:30 +00:00
|
|
|
|
|
2016-10-23 18:27:57 +00:00
|
|
|
|
*** Honor --output-split on coverage constructors, bug1098. [Johan Bjork]
|
|
|
|
|
|
2016-11-19 02:13:56 +00:00
|
|
|
|
**** Fix various issues when making outside of the kit.
|
|
|
|
|
|
2016-11-06 13:14:05 +00:00
|
|
|
|
**** Fix flex 2.6.2 bug, bug1103. [Sergey Kvachonok]
|
|
|
|
|
|
2016-10-22 12:05:27 +00:00
|
|
|
|
**** Fix error on bad interface name, bug1097. [Todd Strader]
|
|
|
|
|
|
2016-11-05 12:37:18 +00:00
|
|
|
|
**** Fix error on referencing variable in parent, bug1099. [Ian Thompson]
|
|
|
|
|
|
2016-11-05 02:58:21 +00:00
|
|
|
|
**** Fix type parameters with low optimization, bug1101. [Stefan Wallentowitz]
|
|
|
|
|
|
2016-10-14 23:51:30 +00:00
|
|
|
|
|
2016-10-14 23:42:18 +00:00
|
|
|
|
* Verilator 3.888 2016-10-14
|
2016-07-30 14:18:52 +00:00
|
|
|
|
|
2016-09-20 02:00:13 +00:00
|
|
|
|
** Support foreach, bug1078. [Xuan Guo]
|
|
|
|
|
|
2016-09-14 02:28:07 +00:00
|
|
|
|
*** Add --no-decoration to remove output comments, msg2015. [Frederic Requin]
|
|
|
|
|
|
2016-09-19 23:31:09 +00:00
|
|
|
|
*** If VM_PARALLEL_BUILDS=1, use OPT_FAST and OPT_SLOW. [Frederic Requin]
|
|
|
|
|
Set VM_DEFAULT_RULES=0 for old behavior.
|
|
|
|
|
|
2016-09-10 12:14:17 +00:00
|
|
|
|
**** Add error on DPI functions > 32 bits, msg1995. [Elliot Mednick]
|
|
|
|
|
|
2016-08-23 22:05:29 +00:00
|
|
|
|
**** Fix SystemC compiles with VPI, bug1081. [Arthur Kahlich]
|
|
|
|
|
|
2016-09-15 00:27:20 +00:00
|
|
|
|
**** Fix error on wide numbers that represent shifts, msg1991, bug1088. [Mandy Xu]
|
2016-09-09 01:30:35 +00:00
|
|
|
|
|
2016-09-09 02:04:14 +00:00
|
|
|
|
**** Improve Verilation performance on internal strings, msg1975. [Johan Bjork]
|
|
|
|
|
|
2016-09-19 21:55:43 +00:00
|
|
|
|
**** Improve Verilation performance on trace duplicates, bug1090. [Johan Bjork]
|
2016-09-19 21:54:42 +00:00
|
|
|
|
|
2016-07-30 14:18:52 +00:00
|
|
|
|
|
2016-07-30 14:10:35 +00:00
|
|
|
|
* Verilator 3.886 2016-07-30
|
2016-05-19 01:15:33 +00:00
|
|
|
|
|
2016-06-16 02:46:34 +00:00
|
|
|
|
**** Fix enum values of 11-16 bits wide using .next/.prev, bug1062. [Brian Flachs]
|
|
|
|
|
|
2016-06-16 00:13:52 +00:00
|
|
|
|
**** Fix false warnings on non-power-2 enums using .next/.prev.
|
|
|
|
|
|
2016-07-23 20:58:30 +00:00
|
|
|
|
**** Fix comparison of unpacked arrays, bug1071. [Andrew Bardsley]
|
|
|
|
|
|
2016-07-09 08:09:34 +00:00
|
|
|
|
**** Fix compiler warning in GCC 6. [David Horton]
|
|
|
|
|
|
2016-06-16 00:13:52 +00:00
|
|
|
|
|
2016-05-19 01:10:56 +00:00
|
|
|
|
* Verilator 3.884 2016-05-18
|
2016-03-02 00:14:04 +00:00
|
|
|
|
|
2016-03-15 01:51:31 +00:00
|
|
|
|
** Support parameter type, bug376. [Alan Hunter, et al]
|
|
|
|
|
|
2016-03-24 23:14:15 +00:00
|
|
|
|
** Support command-line -G/+pvalue param overrides, bug1045. [Stefan Wallentowitz]
|
|
|
|
|
|
2016-05-07 18:10:33 +00:00
|
|
|
|
*** The default l2 scope name is now the same as the top-level module, bug1050.
|
|
|
|
|
Use "--l2-name v" for the historical behavior.
|
|
|
|
|
|
|
|
|
|
*** Add --l2-name option for controlling "v" naming.
|
2016-05-07 18:01:02 +00:00
|
|
|
|
|
2016-05-12 11:19:02 +00:00
|
|
|
|
**** Fix --output-split of constructors, bug1035. [Johan Bjork]
|
|
|
|
|
|
2016-05-12 01:44:55 +00:00
|
|
|
|
**** Fix removal of empty packages, modules and cells, bug1034. [Johan Bjork]
|
|
|
|
|
|
2016-05-14 21:56:04 +00:00
|
|
|
|
**** Fix core dump on Arch Linux/GCC 6.1.1, bug1058. [Jannis Harder]
|
|
|
|
|
|
2016-05-17 01:57:49 +00:00
|
|
|
|
**** Fix $value$plusargs to string, msg1890. [Frederic Requin]
|
|
|
|
|
|
2016-03-02 00:14:04 +00:00
|
|
|
|
|
2016-03-02 00:09:21 +00:00
|
|
|
|
* Verilator 3.882 2016-03-01
|
2016-01-07 01:47:44 +00:00
|
|
|
|
|
|
|
|
|
**** Internal Verilation-time performance enhancements, bug1021. [Johan Bjork]
|
|
|
|
|
|
2016-01-22 00:11:53 +00:00
|
|
|
|
**** Support inlining interfaces, bug1018. [Johan Bjork]
|
|
|
|
|
|
2016-03-01 23:57:15 +00:00
|
|
|
|
**** Support SV strings to readmemh, bug1040. [Stefan Wallentowitz]
|
|
|
|
|
|
2016-01-22 00:00:19 +00:00
|
|
|
|
**** Fix unrolling complicated for-loop bounds, bug677. [Johan Bjork]
|
|
|
|
|
|
2016-01-07 01:53:48 +00:00
|
|
|
|
**** Fix stats file containing multiple unroll entries, bug1020. [Johan Bjork]
|
|
|
|
|
|
2016-01-21 03:09:11 +00:00
|
|
|
|
**** Fix using short parameter names on negative params, bug1022. [Duraid Madina]
|
|
|
|
|
|
2016-02-04 23:34:08 +00:00
|
|
|
|
**** Fix read-after-free error, bug1031. [Johan Bjork]
|
|
|
|
|
|
2016-02-04 23:38:59 +00:00
|
|
|
|
**** Fix elaboration-time display warnings, bug1032. [Johan Bjork]
|
|
|
|
|
|
2016-02-05 01:47:55 +00:00
|
|
|
|
**** Fix crash on very deep function trees, bug1028. [Jonathan Kimmitt]
|
|
|
|
|
|
2016-02-10 03:16:12 +00:00
|
|
|
|
**** Fix slicing mix of big and little-endian, bug1033. [Geoff Barrett]
|
|
|
|
|
|
2016-02-22 04:00:21 +00:00
|
|
|
|
**** Fix pattern assignment width propagation, bug1037. [Johan Bjork]
|
|
|
|
|
|
2016-01-07 01:47:44 +00:00
|
|
|
|
|
2015-12-19 15:26:14 +00:00
|
|
|
|
* Verilator 3.880 2015-12-19
|
2015-11-01 13:20:17 +00:00
|
|
|
|
|
2015-11-13 03:29:42 +00:00
|
|
|
|
*** Support display %u, %v, %p, %z, bug989. [Johan Bjork]
|
2015-11-12 01:49:45 +00:00
|
|
|
|
|
2015-11-05 03:01:21 +00:00
|
|
|
|
**** Fix real parameters causing bad module names, bug992. [Johan Bjork]
|
|
|
|
|
|
2015-11-07 00:12:17 +00:00
|
|
|
|
**** Fix size-changing cast on packed struct, bug993. [Johan Bjork]
|
|
|
|
|
|
2015-11-12 01:40:24 +00:00
|
|
|
|
**** Fix function calls on arrayed interface, bug994. [Johan Bjork]
|
|
|
|
|
|
2015-12-06 00:45:36 +00:00
|
|
|
|
**** Fix arrayed interfaces, bug879, bug1001. [Todd Strader]
|
|
|
|
|
|
2015-11-12 01:49:45 +00:00
|
|
|
|
**** Fix constant function assigned to packed structs, bug997. [Johan Bjork]
|
2015-11-11 02:12:15 +00:00
|
|
|
|
|
2015-12-06 00:45:36 +00:00
|
|
|
|
**** Fix interface inside generate, bug998. [Johan Bjork]
|
2015-11-14 14:06:09 +00:00
|
|
|
|
|
2015-11-13 03:29:42 +00:00
|
|
|
|
**** Fix $signed casts under generates, bug999. [Clifford Wolf]
|
|
|
|
|
|
2015-11-23 02:16:13 +00:00
|
|
|
|
**** Fix genvar constant propagation, bug1003. [Johan Bjork]
|
|
|
|
|
|
|
|
|
|
**** Fix parameter constant propagation from package, bug1004. [Johan Bjork]
|
2015-11-23 01:46:26 +00:00
|
|
|
|
|
2015-11-25 02:28:04 +00:00
|
|
|
|
**** Fix array slicing of non-const indexes, bug1006. [Johan Bjork]
|
|
|
|
|
|
2015-12-06 00:58:58 +00:00
|
|
|
|
**** Fix dotted generated array error, bug1005. [Jeff Bush, Johan Bjork]
|
2015-11-25 02:28:04 +00:00
|
|
|
|
|
2015-12-09 02:25:43 +00:00
|
|
|
|
**** Fix error instead of warning on large concat, msg1768. [Paul Rolfe]
|
|
|
|
|
|
2015-12-09 03:22:42 +00:00
|
|
|
|
**** Fix $bitstoreal constant propagation, bug1012. [Jonathan Kimmitt]
|
|
|
|
|
|
2015-12-15 00:58:22 +00:00
|
|
|
|
**** Fix model restore crash, bug1013. [Jason McMullan]
|
|
|
|
|
|
2015-12-16 03:37:49 +00:00
|
|
|
|
**** Fix arrayed instances to unpacked of same size, bug1015. [Varun Koyyalagunta]
|
|
|
|
|
|
|
|
|
|
**** Fix slices of unpacked arrays with non-zero LSBs.
|
|
|
|
|
|
2015-12-18 23:01:55 +00:00
|
|
|
|
**** Fix ternary operation with unpacked array, bug1017. [Varun Koyyalagunta].
|
|
|
|
|
|
2015-11-01 13:20:17 +00:00
|
|
|
|
|
2015-11-01 13:18:54 +00:00
|
|
|
|
* Verilator 3.878 2015-11-01
|
2015-08-13 01:42:08 +00:00
|
|
|
|
|
2015-09-26 02:57:28 +00:00
|
|
|
|
** Add --vpi flag, and fix VPI linkage, bug969. [Arthur Kahlich]
|
|
|
|
|
|
2015-10-23 00:13:49 +00:00
|
|
|
|
** Support genvar indexes into arrayed cells, bug517. [Todd Strader]
|
|
|
|
|
|
2015-10-03 11:12:56 +00:00
|
|
|
|
** Support $sformatf, bug977. [Johan Bjork]
|
|
|
|
|
|
2015-10-23 22:13:25 +00:00
|
|
|
|
*** Support elaboration assertions, bug973. [Johan Bjork]
|
|
|
|
|
|
2015-10-28 00:58:31 +00:00
|
|
|
|
*** Support $display with non-format arguments, bug467. [Jamey Hicks]
|
|
|
|
|
|
2015-09-25 01:08:58 +00:00
|
|
|
|
**** Add VerilatedScopeNameMap for introspection, bug966. [Todd Strader]
|
|
|
|
|
|
2015-10-28 00:37:52 +00:00
|
|
|
|
**** Ignore %l in $display, bug983. [Todd Strader]
|
|
|
|
|
|
2015-09-20 00:12:35 +00:00
|
|
|
|
**** Fix very long module names, bug937. [Todd Strader]
|
|
|
|
|
|
2015-09-19 00:57:27 +00:00
|
|
|
|
**** Fix internal error on dotted refs into generates, bug958. [Jie Xu]
|
|
|
|
|
|
2015-09-30 01:02:33 +00:00
|
|
|
|
**** Fix structure parameter constant propagation, bug968. [Todd Strader]
|
|
|
|
|
|
2015-10-02 01:15:01 +00:00
|
|
|
|
**** Fix enum constant propagation, bug970. [Todd Strader]
|
|
|
|
|
|
2015-09-18 23:06:15 +00:00
|
|
|
|
**** Fix mis-optimizing public DPI functions, bug963. [Wei Song]
|
|
|
|
|
|
2015-10-24 01:03:35 +00:00
|
|
|
|
**** Fix package:scope.scope variable references.
|
|
|
|
|
|
2015-10-24 01:53:16 +00:00
|
|
|
|
**** Fix $fwrite to constant stderr/stdout, bug961. [Wei Song]
|
|
|
|
|
|
2015-10-24 02:57:15 +00:00
|
|
|
|
**** Fix struct.enum.name method calls, bug855. [Jonathon Donaldson]
|
|
|
|
|
|
2015-10-24 03:06:24 +00:00
|
|
|
|
**** Fix dot indexing into arrayed inferfaces, bug978. [Johan Bjork]
|
|
|
|
|
|
2015-10-30 01:07:49 +00:00
|
|
|
|
**** Fix crash in commandArgsPlusMatch, bug987. [Jamie Iles]
|
|
|
|
|
|
2015-10-30 01:44:02 +00:00
|
|
|
|
**** Fix error message on missing interface, bug985. [Todd Strader]
|
|
|
|
|
|
2015-08-13 01:42:08 +00:00
|
|
|
|
|
2015-08-13 01:40:55 +00:00
|
|
|
|
* Verilator 3.876 2015-08-12
|
2015-06-06 18:02:06 +00:00
|
|
|
|
|
2015-07-23 00:14:58 +00:00
|
|
|
|
*** Add tracing_on, etc to vlt files, bug932. [Frederic Requin]
|
|
|
|
|
|
2015-08-13 01:33:40 +00:00
|
|
|
|
**** Support extraction of enum bits, bug951. [Jonathon Donaldson]
|
|
|
|
|
|
2015-06-16 11:13:45 +00:00
|
|
|
|
**** Fix MinGW compiler error, bug927, bug929. [Hans Tichelaar]
|
2015-06-08 11:24:04 +00:00
|
|
|
|
|
2015-06-09 11:28:51 +00:00
|
|
|
|
**** Fix .c files to be treated as .cpp, bug930. [Jonathon Donaldson]
|
|
|
|
|
|
2015-06-12 11:28:44 +00:00
|
|
|
|
**** Fix string-to-int space conversion, bug931. [Fabrizio Ferrandi]
|
|
|
|
|
|
2015-06-16 23:27:18 +00:00
|
|
|
|
**** Fix dpi imports inside generates. [Michael Tresidder]
|
|
|
|
|
|
2015-07-21 17:22:08 +00:00
|
|
|
|
**** Fix rounding in trace $timescale, bug946. [Frederic Requin]
|
|
|
|
|
|
2015-07-21 22:36:27 +00:00
|
|
|
|
**** Fix $fopen with SV string, bug947. [Sven Stucki]
|
|
|
|
|
|
2015-07-22 23:52:11 +00:00
|
|
|
|
**** Fix hashed error with typedef inside block, bug948. [Sven Stucki]
|
|
|
|
|
|
2015-08-12 23:06:15 +00:00
|
|
|
|
**** Fix makefile with --coverage, bug953. [Eivind Liland]
|
|
|
|
|
|
2015-08-12 23:18:58 +00:00
|
|
|
|
**** Fix coverage documentation, bug954. [Thomas J Whatson]
|
|
|
|
|
|
2015-08-12 23:29:06 +00:00
|
|
|
|
**** Fix parameters with function parameter arguments, bug952. [Jie Xu]
|
|
|
|
|
|
2015-08-12 23:37:25 +00:00
|
|
|
|
**** Fix size casts as second argument of cast item, bug950. [Jonathon Donaldson]
|
|
|
|
|
|
2015-06-09 11:28:51 +00:00
|
|
|
|
|
2015-06-06 18:02:06 +00:00
|
|
|
|
* Verilator 3.874 2015-06-06
|
2015-04-09 23:00:02 +00:00
|
|
|
|
|
2015-05-14 01:21:29 +00:00
|
|
|
|
*** Add pkg-config .pc file, bug919. [Stefan Wallentowitz]
|
|
|
|
|
|
2015-04-29 01:31:25 +00:00
|
|
|
|
**** Fix installing missing manpages, bug908. [Ahmed El-Mahmoudy]
|
2015-04-09 23:00:02 +00:00
|
|
|
|
|
2015-05-15 01:46:07 +00:00
|
|
|
|
**** Fix sign extension in large localparams, bug910. [Mike Thyer]
|
|
|
|
|
|
2015-04-29 01:31:25 +00:00
|
|
|
|
**** Fix core dump in sync-async warnings, bug911. [Sebastian Dressler]
|
|
|
|
|
|
|
|
|
|
**** Fix truncation warning with -pins-bv, bug912. [Alfonso Martinez]
|
2015-04-27 10:47:29 +00:00
|
|
|
|
|
2015-05-08 00:41:53 +00:00
|
|
|
|
**** Fix Cygwin uint32 compile, bug914. [Matthew Barr]
|
|
|
|
|
|
2015-05-08 01:41:54 +00:00
|
|
|
|
**** Fix preprocessing stringified newline escapes, bug915. [Anton Rapp]
|
|
|
|
|
|
2015-05-09 18:01:54 +00:00
|
|
|
|
**** Fix part-select in constant function, bug916. [Andrew Bardsley]
|
|
|
|
|
|
2015-05-14 00:59:13 +00:00
|
|
|
|
**** Fix width extension on mis-width ports, bug918. [Patrick Maupin]
|
|
|
|
|
|
2015-06-06 17:43:14 +00:00
|
|
|
|
**** Fix width propagation on sized casts, bug925. [Jonathon Donaldson]
|
|
|
|
|
|
2015-06-04 23:37:03 +00:00
|
|
|
|
**** Fix MSVC++ compiler error, bug927. [Hans Tichelaar]
|
|
|
|
|
|
2015-04-09 23:00:02 +00:00
|
|
|
|
|
2015-04-05 14:56:20 +00:00
|
|
|
|
* Verilator 3.872 2015-04-05
|
2015-02-13 01:39:20 +00:00
|
|
|
|
|
2015-03-05 13:54:57 +00:00
|
|
|
|
*** Add VerilatedVcdFile to allow real-time waveforms, bug890. [HyungKi Jeong]
|
|
|
|
|
|
2015-03-12 23:20:46 +00:00
|
|
|
|
*** Add --clk and related optimizations, msg1533. [Jie Xu]
|
|
|
|
|
|
2015-03-13 11:06:06 +00:00
|
|
|
|
*** Fix order of C style arrays. [Duraid Madina]
|
|
|
|
|
|
2015-03-12 23:47:54 +00:00
|
|
|
|
**** Add --dump-treei-<srcfile>, bug894. [Jie Xu]
|
|
|
|
|
|
2015-02-22 16:41:10 +00:00
|
|
|
|
**** Fix comma-instantiations with parameters, bug884. [Franck Jullien]
|
|
|
|
|
|
2015-02-26 02:09:55 +00:00
|
|
|
|
**** Fix SystemC arrayed bit vectors, bug886. [David Poole]
|
|
|
|
|
|
2015-02-27 01:40:45 +00:00
|
|
|
|
**** Fix compile error on MinGW, bug887. [HyungKi Jeong]
|
|
|
|
|
|
2015-02-13 01:39:20 +00:00
|
|
|
|
|
2015-02-13 01:37:44 +00:00
|
|
|
|
* Verilator 3.870 2015-02-12
|
2014-12-24 02:42:33 +00:00
|
|
|
|
|
2014-12-24 03:11:31 +00:00
|
|
|
|
**** Suppress COMBDLY when inside always_latch, bug864. [Iztok Jeras]
|
|
|
|
|
|
|
|
|
|
**** Support cast operator with expression size, bug865. [Iztok Jeras]
|
2014-12-24 02:42:33 +00:00
|
|
|
|
|
2015-01-25 21:32:46 +00:00
|
|
|
|
**** Add warning on slice selection out of bounds, bug875. [Cong Van Nguyen].
|
|
|
|
|
|
2014-12-25 00:27:46 +00:00
|
|
|
|
**** Fix member select error broke in 3.868, bug867. [Iztok Jeras]
|
|
|
|
|
|
2014-12-25 02:50:38 +00:00
|
|
|
|
**** Fix $sccanf from string, bug866. [David Pierce]
|
|
|
|
|
|
2015-01-17 18:31:27 +00:00
|
|
|
|
**** Fix VM_PARALLEL_BUILDS broke in 3.868, bug870. [Hiroki Honda]
|
|
|
|
|
|
2015-01-17 20:35:45 +00:00
|
|
|
|
**** Fix non-ANSI modport instantiations, bug868. [Kevin Thompson]
|
|
|
|
|
|
2015-01-22 01:43:21 +00:00
|
|
|
|
**** Fix UNOPTFLAT change detect on multidim arrays, bug872. [Andrew Bardsley]
|
|
|
|
|
|
2015-02-11 01:24:21 +00:00
|
|
|
|
**** Fix slice connections of arrays to ports, bug880. [Varun Koyyalagunta]
|
|
|
|
|
|
2015-02-12 00:36:34 +00:00
|
|
|
|
**** Fix mis-optimizing gate assignments in unopt blocks, bug881. [Mike Thyer]
|
|
|
|
|
|
2015-02-12 12:47:45 +00:00
|
|
|
|
**** Fix sign extension of pattern members, bug882. [Iztok Jeras]
|
|
|
|
|
|
2015-02-11 03:38:05 +00:00
|
|
|
|
**** Fix clang compile warnings.
|
|
|
|
|
|
2014-12-24 02:42:33 +00:00
|
|
|
|
|
2014-12-20 21:49:42 +00:00
|
|
|
|
* Verilator 3.868 2014-12-20
|
2014-11-15 13:43:27 +00:00
|
|
|
|
|
2014-11-24 02:06:10 +00:00
|
|
|
|
** New verilator_coverage program added to replace SystemPerl's vcoverage.
|
|
|
|
|
|
2014-11-22 15:14:14 +00:00
|
|
|
|
** PSL support was removed, please use System Verilog assertions.
|
|
|
|
|
|
2014-11-27 13:30:54 +00:00
|
|
|
|
** SystemPerl mode is deprecated and now untested.
|
|
|
|
|
|
2014-11-29 02:37:47 +00:00
|
|
|
|
*** Support enum.first/name and similar methods, bug460, bug848.
|
2014-11-29 01:34:23 +00:00
|
|
|
|
|
2014-11-28 20:01:50 +00:00
|
|
|
|
*** Add 'string' printing and comparisons, bug746, bug747, etc.
|
|
|
|
|
|
2014-11-27 15:52:38 +00:00
|
|
|
|
*** Inline C functions that are used only once, msg1525. [Jie Xu]
|
|
|
|
|
|
2014-12-11 03:33:28 +00:00
|
|
|
|
*** Fix tracing SystemC signals with structures, bug858. [Eivind Liland]
|
|
|
|
|
Note that SystemC traces will no longer show the signals
|
|
|
|
|
in the wrapper, they can be seen one level further down.
|
|
|
|
|
|
2014-12-20 13:28:31 +00:00
|
|
|
|
**** Add --stats-vars, bug851. [Jeremy Bennett]
|
|
|
|
|
|
2014-11-29 02:32:57 +00:00
|
|
|
|
**** Fix bare generates in interfaces, bug789. [Bob Newgard]
|
|
|
|
|
|
2014-12-19 23:14:32 +00:00
|
|
|
|
**** Fix underscores in real literals, bug863. [Jonathon Donaldson]
|
|
|
|
|
|
2014-11-22 15:14:14 +00:00
|
|
|
|
|
2014-11-15 13:38:44 +00:00
|
|
|
|
* Verilator 3.866 2014-11-15
|
2014-09-21 13:06:40 +00:00
|
|
|
|
|
2014-11-14 00:05:07 +00:00
|
|
|
|
*** Fix +define+A+B to define A and B to match other simulators, bug847. [Adam Krolnik]
|
|
|
|
|
|
2014-11-06 02:09:35 +00:00
|
|
|
|
*** Add optimization of wires from arrayed cells, msg1447. [Jie Xu]
|
|
|
|
|
|
2014-10-23 01:44:41 +00:00
|
|
|
|
*** Add optimization of operators between concats, msg1447. [Jie Xu]
|
|
|
|
|
|
2014-11-07 12:50:11 +00:00
|
|
|
|
*** Add public enums, bug833. [Jonathon Donaldson]
|
|
|
|
|
|
2014-11-08 19:15:10 +00:00
|
|
|
|
*** Trace_off now operates on cells, bug826. [Lane Brooks]
|
|
|
|
|
|
2014-11-06 22:53:01 +00:00
|
|
|
|
**** Fix public parameters in unused packages, bug804. [Jonathon Donaldson]
|
|
|
|
|
|
2014-11-09 23:29:52 +00:00
|
|
|
|
**** Fix select when partially out-of-bound, bug823. [Cliffort Wolf]
|
|
|
|
|
|
2014-10-16 01:29:37 +00:00
|
|
|
|
**** Fix generate unrolling with function call, bug830. [Steven Slatter]
|
|
|
|
|
|
2014-10-17 23:01:04 +00:00
|
|
|
|
**** Fix cast-to-size context-determined sizing, bug828. [Geoff Barrett]
|
|
|
|
|
|
2014-11-04 12:49:03 +00:00
|
|
|
|
**** Fix not tracing modules following primitives, bug837. [Jie Xu]
|
|
|
|
|
|
2014-11-06 03:22:27 +00:00
|
|
|
|
**** Fix trace overflow on huge arrays, bug834. [Geoff Barrett]
|
|
|
|
|
|
2014-11-12 21:37:51 +00:00
|
|
|
|
**** Fix quoted comment slashes in defines, bug845. [Adam Krolnik]
|
|
|
|
|
|
2014-09-21 13:06:40 +00:00
|
|
|
|
|
2014-09-21 13:04:36 +00:00
|
|
|
|
* Verilator 3.864 2014-09-21
|
2014-06-11 00:43:10 +00:00
|
|
|
|
|
2014-09-21 12:20:38 +00:00
|
|
|
|
*** Support power operator with real, bug809. [Jonathon Donaldson]
|
|
|
|
|
|
2014-08-29 02:10:39 +00:00
|
|
|
|
**** Improve verilator_profcfunc time attributions. [Jonathon Donaldson]
|
|
|
|
|
|
2014-06-15 15:18:47 +00:00
|
|
|
|
**** Fix duplicate anonymous structures in $root, bug788. [Bob Newgard]
|
|
|
|
|
|
2014-07-04 13:13:59 +00:00
|
|
|
|
**** Fix mis-optimization of bit-swap in wide signal, bug800. [Jie Xu]
|
|
|
|
|
|
2014-07-22 00:44:33 +00:00
|
|
|
|
**** Fix error when tracing public parameters, bug722. [Jonathon Donaldson]
|
|
|
|
|
|
2014-07-22 00:55:52 +00:00
|
|
|
|
**** Fix dpiGetContext in dotted scopes, bug740. [Geoff Barrett]
|
|
|
|
|
|
2014-07-28 11:31:01 +00:00
|
|
|
|
**** Fix over-shift structure optimization error, bug803. [Jeff Bush]
|
|
|
|
|
|
2014-08-27 11:57:20 +00:00
|
|
|
|
**** Fix optional parameter keyword in module #(), bug810. [Iztok Jeras]
|
|
|
|
|
|
2014-09-05 02:25:19 +00:00
|
|
|
|
**** Fix $warning/$error multi-argument ordering, bug816. [Jonathon Donaldson]
|
|
|
|
|
|
2014-09-12 01:28:53 +00:00
|
|
|
|
**** Fix clang warnings, bug818. [Iztok Jeras]
|
|
|
|
|
|
2014-09-21 12:52:09 +00:00
|
|
|
|
**** Fix string formats under deep expressions, bug820. [Iztok Jeras]
|
|
|
|
|
|
2014-06-11 00:43:10 +00:00
|
|
|
|
|
2014-06-11 00:39:51 +00:00
|
|
|
|
* Verilator 3.862 2014-06-10
|
2014-05-11 20:58:01 +00:00
|
|
|
|
|
2014-05-16 00:54:45 +00:00
|
|
|
|
*** Using command line -Wno-{WARNING} now overrides file-local lint_on.
|
|
|
|
|
|
2014-06-07 00:22:20 +00:00
|
|
|
|
*** Add -P to suppress `line and blanks with preprocessing, bug781. [Derek Lockhart]
|
|
|
|
|
|
2014-05-16 00:50:42 +00:00
|
|
|
|
*** Support SV 2012 package import before port list.
|
|
|
|
|
|
2014-05-28 11:24:02 +00:00
|
|
|
|
**** Change SYMRSVDWORD to print as warning rather than error.
|
|
|
|
|
|
2014-05-28 11:33:40 +00:00
|
|
|
|
**** Fix seg-fault with variable of parameterized interface, bug692. [Jie Xu]
|
|
|
|
|
|
2014-06-10 02:00:45 +00:00
|
|
|
|
**** Fix false name conflict on cells in generate blocks, bug749. [Igor Lesik]
|
|
|
|
|
|
2014-06-07 01:52:16 +00:00
|
|
|
|
**** Fix pattern assignment to basic types, bug767. [Jie Xu]
|
|
|
|
|
|
|
|
|
|
**** Fix pattern assignment to conditionals, bug769. [Jie Xu]
|
2014-06-07 01:14:44 +00:00
|
|
|
|
|
2014-06-10 23:13:55 +00:00
|
|
|
|
**** Fix shift corner-cases, bug765, bug766, bug768, bug772, bug774, bug776. [Clifford Wolf]
|
2014-05-13 12:10:59 +00:00
|
|
|
|
|
2014-05-24 12:00:01 +00:00
|
|
|
|
**** Fix C compiler interpreting signing, bug773. [Clifford Wolf]
|
|
|
|
|
|
2014-06-10 02:27:04 +00:00
|
|
|
|
**** Fix late constant division by zero giving X error, bug775. [Clifford Wolf]
|
|
|
|
|
|
2014-05-16 00:57:09 +00:00
|
|
|
|
**** Fix gate primitives with arrays and non-arrayed pins.
|
|
|
|
|
|
2014-06-07 13:53:40 +00:00
|
|
|
|
**** Fix DETECTARRAY error on packed arrays, bug770. [Jie Xu]
|
|
|
|
|
|
2014-05-16 00:52:22 +00:00
|
|
|
|
**** Fix ENDLABEL warnings on escaped identifiers.
|
|
|
|
|
|
2014-06-09 01:36:18 +00:00
|
|
|
|
**** Fix string corruption, bug780. [Derek Lockhart]
|
|
|
|
|
|
2014-05-11 20:58:01 +00:00
|
|
|
|
|
2014-05-11 20:51:56 +00:00
|
|
|
|
* Verilator 3.860 2014-05-11
|
2014-03-14 00:08:43 +00:00
|
|
|
|
|
2014-03-15 01:14:24 +00:00
|
|
|
|
** PSL is no longer supported, please use System Verilog assertions.
|
|
|
|
|
|
2014-03-31 00:41:20 +00:00
|
|
|
|
** Support '{} assignment pattern on arrays, bug355.
|
|
|
|
|
|
2014-04-10 00:29:35 +00:00
|
|
|
|
** Support streaming operators, bug649. [Glen Gibb]
|
|
|
|
|
|
2014-05-04 00:20:15 +00:00
|
|
|
|
** Fix expression problems with -Wno-WIDTH, bug729, bug736, bug737, bug759.
|
2014-04-30 02:01:50 +00:00
|
|
|
|
Where WIDTH warnings were ignored this might result in different
|
|
|
|
|
warning messages and results, though it should better match the spec.
|
2014-05-04 00:20:15 +00:00
|
|
|
|
[Clifford Wolf]
|
2014-04-30 02:01:50 +00:00
|
|
|
|
|
2014-03-14 00:08:43 +00:00
|
|
|
|
*** Add --no-trace-params.
|
|
|
|
|
|
2014-05-08 11:15:44 +00:00
|
|
|
|
*** Add assertions on 'unique if', bug725. [Jeff Bush]
|
2014-03-17 01:38:29 +00:00
|
|
|
|
|
2014-03-28 01:36:52 +00:00
|
|
|
|
*** Add PINCONNECTEMPTY warning. [Holger Waechtler]
|
|
|
|
|
|
2014-04-02 03:16:16 +00:00
|
|
|
|
*** Support parameter arrays, bug683. [Jeremy Bennett]
|
|
|
|
|
|
2014-05-08 11:15:44 +00:00
|
|
|
|
*** Fix begin_keywords "1800+VAMS", msg1211.
|
|
|
|
|
|
2014-03-14 11:17:03 +00:00
|
|
|
|
**** Documentation fixes, bug723. [Glen Gibb]
|
|
|
|
|
|
2014-04-21 23:39:28 +00:00
|
|
|
|
**** Support {} in always sensitivity lists, bug745. [Igor Lesik]
|
|
|
|
|
|
2014-03-15 00:36:47 +00:00
|
|
|
|
**** Fix tracing of package variables and real arrays.
|
|
|
|
|
|
2014-04-16 00:20:45 +00:00
|
|
|
|
**** Fix tracing of packed arrays without --trace-structs, bug742. [Jie Xu]
|
|
|
|
|
|
2014-03-29 15:04:13 +00:00
|
|
|
|
**** Fix missing coverage line on else-if, bug727. [Sharad Bagri]
|
|
|
|
|
|
2014-04-04 01:53:39 +00:00
|
|
|
|
**** Fix modport function import not-found error.
|
|
|
|
|
|
2014-04-09 00:28:16 +00:00
|
|
|
|
**** Fix power operator calculation, bug730, bug735. [Clifford Wolf]
|
2014-04-05 19:44:49 +00:00
|
|
|
|
|
2014-04-15 23:35:44 +00:00
|
|
|
|
**** Fix reporting struct members as reserved words, bug741. [Chris Randall]
|
|
|
|
|
|
2014-05-02 12:14:23 +00:00
|
|
|
|
**** Fix change detection error on unions, bug758. [Jie Xu]
|
|
|
|
|
|
2014-05-10 16:40:35 +00:00
|
|
|
|
**** Fix -Wno-UNOPTFLAT change detection with 64-bits, bug762. [Clifford Wolf]
|
|
|
|
|
|
2014-05-10 20:38:20 +00:00
|
|
|
|
**** Fix shift-right optimization, bug763. [Clifford Wolf]
|
|
|
|
|
|
2014-03-25 00:19:43 +00:00
|
|
|
|
**** Fix Mac OS-X test issues. [Holger Waechtler]
|
|
|
|
|
|
2014-03-15 18:50:03 +00:00
|
|
|
|
**** Fix C++-2011 warnings.
|
|
|
|
|
|
2014-03-14 00:08:43 +00:00
|
|
|
|
|
2014-03-11 23:48:57 +00:00
|
|
|
|
* Verilator 3.856 2014-03-11
|
2014-01-21 02:55:27 +00:00
|
|
|
|
|
2014-01-21 02:59:53 +00:00
|
|
|
|
*** Support case inside, bug708. [Jan Egil Ruud]
|
2014-01-21 02:55:27 +00:00
|
|
|
|
|
2014-03-08 20:36:04 +00:00
|
|
|
|
*** Add parameters into trace files, bug706. [Alex Solomatnikov]
|
|
|
|
|
|
2014-03-11 23:07:58 +00:00
|
|
|
|
**** Fix parsing "#0 'b0", bug256.
|
|
|
|
|
|
2014-02-09 14:19:05 +00:00
|
|
|
|
**** Fix array bound checks on real variables.
|
|
|
|
|
|
2014-02-09 21:32:49 +00:00
|
|
|
|
**** Fix --skip-identical mis-detecting on OS-X, bug707.
|
|
|
|
|
|
2014-02-13 23:13:30 +00:00
|
|
|
|
**** Fix missing VL_SHIFTRS_IQI with WIDTH warning, bug714. [Fabrizio Ferrandi]
|
|
|
|
|
|
2014-03-10 01:28:28 +00:00
|
|
|
|
**** Fix signed shift right optimization, bug715. [Fabrizio Ferrandi]
|
|
|
|
|
|
2014-03-08 17:26:34 +00:00
|
|
|
|
**** Fix internal error on "input x =" syntax error, bug716. [Lane Brooks]
|
|
|
|
|
|
2014-03-08 19:55:05 +00:00
|
|
|
|
**** Fix slice extraction from packed array, bug717. [Jan Egil Ruud]
|
|
|
|
|
|
2014-03-08 18:33:44 +00:00
|
|
|
|
**** Fix inside statement EQWILD error, bug718. [Jan Egil Ruud]
|
|
|
|
|
|
2014-01-21 02:55:27 +00:00
|
|
|
|
|
2014-01-18 18:16:08 +00:00
|
|
|
|
* Verilator 3.855 2014-01-18
|
2013-11-29 13:28:48 +00:00
|
|
|
|
|
2013-12-21 11:51:15 +00:00
|
|
|
|
*** Support modport import, bug696. [Jeremy Bennett]
|
|
|
|
|
|
2013-12-15 00:13:31 +00:00
|
|
|
|
*** Add --trace-structs to show struct names, bug673. [Chris Randall]
|
|
|
|
|
|
2013-12-14 21:51:08 +00:00
|
|
|
|
**** Fix tracing of packed structs, bug705. [Jie Xu]
|
|
|
|
|
|
2013-11-29 13:28:48 +00:00
|
|
|
|
**** Fix --lint-only with MinGW, msg1283. [HyungKi Jeong]
|
|
|
|
|
|
2013-12-14 15:32:44 +00:00
|
|
|
|
**** Fix some delayed assignments of typedefed unpacked arrays.
|
|
|
|
|
|
2013-12-15 00:50:55 +00:00
|
|
|
|
**** Fix wire declarations with size and not range, bug466. [Alex Solomatnikov]
|
|
|
|
|
|
2013-12-14 23:04:10 +00:00
|
|
|
|
**** Fix parameter pin vs. normal pin error, bug704. [Alex Solomatnikov]
|
|
|
|
|
|
2013-11-29 13:28:48 +00:00
|
|
|
|
|
2013-11-27 00:52:19 +00:00
|
|
|
|
* Verilator 3.854 2013-11-26
|
2013-09-30 20:56:52 +00:00
|
|
|
|
|
2013-10-29 00:41:05 +00:00
|
|
|
|
*** Add UNPACKED warning to convert unpacked structs. [Jeremy Bennett]
|
|
|
|
|
|
2013-11-26 23:46:55 +00:00
|
|
|
|
*** Add --compiler clang to work around compiler bug, bug694. [Stefan Ludwig]
|
|
|
|
|
|
2013-10-23 00:10:43 +00:00
|
|
|
|
**** Support vpi_get of vpiSuppressVal, bug687. [Varun Koyyalagunta]
|
|
|
|
|
|
2013-10-23 02:02:53 +00:00
|
|
|
|
**** Support vpi_get_time, bug688. [Varun Koyyalagunta]
|
|
|
|
|
|
2013-10-18 11:06:32 +00:00
|
|
|
|
**** Fix evaluation of chained parameter functions, bug684. [Ted Campbell]
|
|
|
|
|
|
2013-10-29 00:24:31 +00:00
|
|
|
|
**** Fix enum value extension of '1.
|
|
|
|
|
|
2013-10-03 11:24:50 +00:00
|
|
|
|
**** Fix multiple VPI variable callbacks, bug679. [Rich Porter]
|
2013-09-30 20:56:52 +00:00
|
|
|
|
|
2013-10-14 00:05:57 +00:00
|
|
|
|
**** Fix vpi_get of vpiSize, bug680. [Rich Porter]
|
|
|
|
|
|
2013-10-29 01:00:40 +00:00
|
|
|
|
**** Fix vpi_remove_cb inside callback, bug689. [Varun Koyyalagunta]
|
|
|
|
|
|
2013-10-30 00:15:01 +00:00
|
|
|
|
**** Fix crash with coverage of structures, bug691. [Eivind Liland]
|
|
|
|
|
|
2013-11-01 02:39:26 +00:00
|
|
|
|
**** Fix array assignment from const var, bug693. [Jie Xu]
|
|
|
|
|
|
2013-09-30 00:56:19 +00:00
|
|
|
|
|
2013-09-30 20:53:45 +00:00
|
|
|
|
* Verilator 3.853 2013-09-30
|
2013-09-30 00:56:19 +00:00
|
|
|
|
|
2013-09-30 20:52:43 +00:00
|
|
|
|
**** Add --no-order-clock-delay to work around bug613. [Charlie Brej]
|
|
|
|
|
|
2013-09-30 00:56:19 +00:00
|
|
|
|
|
2013-09-30 00:52:29 +00:00
|
|
|
|
* Verilator 3.852 2013-09-29
|
2013-08-15 12:43:37 +00:00
|
|
|
|
|
2013-08-18 00:34:49 +00:00
|
|
|
|
*** Support named function and task arguments. [Chris Randall]
|
|
|
|
|
|
2013-09-10 11:16:13 +00:00
|
|
|
|
*** Report SELRANGE warning for non-generate if, bug675. [Roland Kruse]
|
|
|
|
|
|
2013-09-07 20:43:43 +00:00
|
|
|
|
**** Fix ordering of $fgetc, msg1229. [Frederic Requin]
|
|
|
|
|
|
2013-09-03 23:35:32 +00:00
|
|
|
|
**** Fix --output-split-cfunc to count internal functions. [Chris Randall]
|
|
|
|
|
|
2013-09-04 01:40:43 +00:00
|
|
|
|
**** Fix crash on 32-bit Ubuntu, bug670. [Mark Jackson Pulver]
|
|
|
|
|
|
2013-09-03 23:35:32 +00:00
|
|
|
|
|
2013-08-15 12:39:46 +00:00
|
|
|
|
* Verilator 3.851 2013-08-15
|
2013-06-02 18:52:19 +00:00
|
|
|
|
|
2013-06-06 03:35:47 +00:00
|
|
|
|
*** Fix ordering of clock enables with delayed assigns, bug613. [Jeremy Bennett]
|
|
|
|
|
|
2013-06-13 11:58:52 +00:00
|
|
|
|
*** Fix vpi_iterate on memory words, bug655. [Rich Porter]
|
|
|
|
|
|
2013-07-30 01:47:23 +00:00
|
|
|
|
**** Fix final duplicate declarations when non-inlined, bug661. [Charlie Brej]
|
|
|
|
|
|
2013-06-13 23:38:18 +00:00
|
|
|
|
**** Fix interface ports with comma lists, msg1058. [Ed Lander]
|
|
|
|
|
|
2013-07-30 02:03:47 +00:00
|
|
|
|
**** Fix parameter real conversion from integer.
|
|
|
|
|
|
2013-07-29 14:37:58 +00:00
|
|
|
|
**** Fix clang warnings, bug668. [Yutetsu Takatsukasa]
|
|
|
|
|
|
2013-06-02 18:52:19 +00:00
|
|
|
|
|
2013-06-02 18:47:36 +00:00
|
|
|
|
* Verilator 3.850 2013-06-02
|
2013-05-16 02:00:28 +00:00
|
|
|
|
|
2013-05-28 01:39:19 +00:00
|
|
|
|
** Support interfaces and modports, bug102. [Byron Bradley, Jeremy Bennett]
|
|
|
|
|
|
2013-05-28 02:39:59 +00:00
|
|
|
|
*** Duplicate clock gate optimization on by default, bug621.
|
|
|
|
|
|
2013-05-16 02:00:28 +00:00
|
|
|
|
**** Fix arrayed input compile error, bug645. [Krzysztof Jankowski]
|
|
|
|
|
|
2013-05-24 00:19:51 +00:00
|
|
|
|
**** Fix GCC version runtime changes, bug651. [Jeremy Bennett]
|
|
|
|
|
|
2013-05-25 01:14:42 +00:00
|
|
|
|
**** Fix packed array select internal error, bug652. [Krzysztof Jankowski]
|
|
|
|
|
|
2013-05-16 02:00:28 +00:00
|
|
|
|
|
2013-05-11 20:11:38 +00:00
|
|
|
|
* Verilator 3.847 2013-05-11
|
2013-03-09 21:48:10 +00:00
|
|
|
|
|
2013-05-11 01:02:48 +00:00
|
|
|
|
*** Add ALWCOMBORDER warning. [KC Buckenmaier]
|
2014-05-08 11:15:44 +00:00
|
|
|
|
|
2013-05-11 01:02:48 +00:00
|
|
|
|
*** Add --pins-sc-uint and --pins-sc-biguint, bug638. [Alex Hornung]
|
2014-05-08 11:15:44 +00:00
|
|
|
|
|
2013-05-11 01:02:48 +00:00
|
|
|
|
**** Support "signal[vec]++".
|
2014-05-08 11:15:44 +00:00
|
|
|
|
|
2013-05-11 01:02:48 +00:00
|
|
|
|
**** Fix simulation error when inputs and MULTIDRIVEN, bug634. [Ted Campbell]
|
2014-05-08 11:15:44 +00:00
|
|
|
|
|
2013-05-11 01:02:48 +00:00
|
|
|
|
**** Fix module resolution with __, bug631. [Jason McMullan]
|
2014-05-08 11:15:44 +00:00
|
|
|
|
|
2013-05-11 01:02:48 +00:00
|
|
|
|
**** Fix packed array non-zero right index select crash, bug642. [Krzysztof Jankowski]
|
2014-05-08 11:15:44 +00:00
|
|
|
|
|
2013-05-11 01:02:48 +00:00
|
|
|
|
**** Fix nested union crash, bug643. [Krzysztof Jankowski]
|
2013-05-10 11:09:25 +00:00
|
|
|
|
|
2013-03-09 21:48:10 +00:00
|
|
|
|
|
2013-03-09 21:44:48 +00:00
|
|
|
|
* Verilator 3.846 2013-03-09
|
2013-02-10 14:54:27 +00:00
|
|
|
|
|
2013-02-27 04:01:19 +00:00
|
|
|
|
** IEEE 1800-2012 is now the default language. This adds 4 new keywords
|
|
|
|
|
and updates the svdpi.h and vpi_user.h header files.
|
|
|
|
|
|
2013-02-27 03:26:47 +00:00
|
|
|
|
*** Add --report-unoptflat, bug611. [Jeremy Bennett]
|
|
|
|
|
|
2013-02-21 01:14:15 +00:00
|
|
|
|
*** Add duplicate clock gate optimization, msg980. [Varun Koyyalagunta]
|
|
|
|
|
Disabled unless -OD or -O3 used, please try it as may get some
|
|
|
|
|
significant speedups.
|
|
|
|
|
|
2013-02-22 04:38:29 +00:00
|
|
|
|
*** Fix wrong dot resolution under inlining. [Art Stamness]
|
|
|
|
|
|
2013-02-14 01:52:38 +00:00
|
|
|
|
**** Support pattern assignment features, bug616, bug617, bug618. [Ed Lander]
|
2013-02-14 01:32:25 +00:00
|
|
|
|
|
2013-02-14 11:55:09 +00:00
|
|
|
|
**** Support bind in $unit, bug602. [Ed Lander]
|
|
|
|
|
|
2013-03-06 03:13:22 +00:00
|
|
|
|
**** Support <number>'() sized casts, bug628. [Ed Lander]
|
|
|
|
|
|
2013-02-10 14:54:27 +00:00
|
|
|
|
**** Fix DETECTARRAY on packed structures, bug610. [Jeremy Bennett]
|
|
|
|
|
|
2013-02-14 00:03:10 +00:00
|
|
|
|
**** Fix LITENDIAN on unpacked structures, bug614. [Wai Sum Mong]
|
|
|
|
|
|
2013-02-11 12:17:18 +00:00
|
|
|
|
**** Fix 32-bit OS VPI scan issue, bug615. [Jeremy Bennett, Rich Porter]
|
|
|
|
|
|
2013-02-24 02:10:25 +00:00
|
|
|
|
**** Fix opening a VerilatedVcdC file multiple times, msg1021. [Frederic Requin]
|
|
|
|
|
|
2013-03-09 00:25:20 +00:00
|
|
|
|
**** Fix UNOPTFLAT circular array bounds crossing, bug630. [Jie Xu]
|
|
|
|
|
|
2013-02-11 12:17:18 +00:00
|
|
|
|
|
2013-02-05 03:12:21 +00:00
|
|
|
|
* Verilator 3.845 2013/02/04
|
2013-01-15 02:49:22 +00:00
|
|
|
|
|
2013-01-15 02:51:02 +00:00
|
|
|
|
*** Fix nested packed arrays and struct, bug600. [Jeremy Bennett]
|
2013-01-15 02:49:22 +00:00
|
|
|
|
Packed arrays are now represented as a single linear vector in
|
2013-01-15 02:51:02 +00:00
|
|
|
|
Verilated models. This may affect packed arrays that are public or
|
2013-01-15 02:49:22 +00:00
|
|
|
|
accessed via the VPI.
|
|
|
|
|
|
2013-02-02 14:33:04 +00:00
|
|
|
|
*** Support wires with data types, bug608. [Ed Lander]
|
|
|
|
|
|
2013-01-15 04:19:44 +00:00
|
|
|
|
*** Support bind, to module names only, bug602. [Ed Lander]
|
|
|
|
|
|
2013-01-18 02:40:37 +00:00
|
|
|
|
*** Support VPI product info, warning calls, etc, bug588. [Rick Porter]
|
|
|
|
|
|
2013-01-20 17:19:22 +00:00
|
|
|
|
*** Support $left, $right and related functions, bug448. [Iztok Jeras]
|
|
|
|
|
|
2013-02-02 17:55:28 +00:00
|
|
|
|
*** Support inside expressions.
|
|
|
|
|
|
2013-01-17 01:58:48 +00:00
|
|
|
|
*** Define SYSTEMVERILOG, SV_COV_START and other IEEE mandated predefines.
|
|
|
|
|
|
|
|
|
|
**** Fix pin width mismatch error, bug595. [Alex Solomatnikov]
|
|
|
|
|
|
|
|
|
|
**** Fix implicit one bit parameter selection, bug603. [Jeremy Bennett]
|
2013-01-16 00:26:35 +00:00
|
|
|
|
|
2013-01-19 02:35:43 +00:00
|
|
|
|
**** Fix signed/unsigned parameter misconversion, bug606. [Jeremy Bennett]
|
|
|
|
|
|
2013-01-26 02:27:19 +00:00
|
|
|
|
**** Fix segfault on multidimensional dotted arrays, bug607. [Jie Xu]
|
|
|
|
|
|
2013-02-02 23:33:10 +00:00
|
|
|
|
**** Fix per-bit array output connection error, bug414. [Jan Egil Ruud]
|
|
|
|
|
|
2013-01-18 00:04:36 +00:00
|
|
|
|
**** Fix package logic var compile error.
|
|
|
|
|
|
2013-02-02 17:43:28 +00:00
|
|
|
|
**** Fix enums with X values.
|
|
|
|
|
|
2013-01-16 00:26:35 +00:00
|
|
|
|
|
2013-01-10 03:08:30 +00:00
|
|
|
|
* Verilator 3.844 2013/01/09
|
2012-12-04 01:43:13 +00:00
|
|
|
|
|
|
|
|
|
*** Support "unsigned int" DPI import functions, msg966. [Alex Lee]
|
|
|
|
|
|
2012-12-31 22:05:13 +00:00
|
|
|
|
*** Fix package resolution of parameters, bug586. [Jeremy Bennett]
|
|
|
|
|
|
2012-12-06 14:40:16 +00:00
|
|
|
|
**** Fix non-integer vpi_get_value, bug587. [Rich Porter]
|
|
|
|
|
|
2013-01-02 23:35:21 +00:00
|
|
|
|
**** Fix task inlining under $display and case, bug589, bug598. [Holger Waechtler]
|
2012-12-16 02:41:37 +00:00
|
|
|
|
|
2013-01-15 04:39:56 +00:00
|
|
|
|
**** Fix package import of non-localparam parameter, bug474, bug591. [Jeremy Bennett]
|
2012-12-18 00:07:23 +00:00
|
|
|
|
|
2012-12-18 01:26:40 +00:00
|
|
|
|
**** Fix package import of package imports, partial bug592. [Jeremy Bennett]
|
|
|
|
|
|
2013-01-09 00:06:52 +00:00
|
|
|
|
**** Fix package import preventing local var, bug599. [Jeremy Bennett]
|
|
|
|
|
|
2013-01-10 00:00:12 +00:00
|
|
|
|
**** Fix array extraction of implicit vars, bug601. [Joe Eiler]
|
|
|
|
|
|
2012-12-04 01:43:13 +00:00
|
|
|
|
|
2012-12-01 21:38:21 +00:00
|
|
|
|
* Verilator 3.843 2012/12/01
|
2012-11-04 00:19:31 +00:00
|
|
|
|
|
2012-11-14 01:12:23 +00:00
|
|
|
|
*** Add +1364-1995ext and similar language options, bug532. [Jeremy Bennett]
|
|
|
|
|
|
2012-11-28 12:36:47 +00:00
|
|
|
|
**** Fix mis-optimized identical submodule subtract, bug581. [Charlie Brej]
|
|
|
|
|
|
2012-11-30 11:57:36 +00:00
|
|
|
|
**** Fix crash on dotted references into dead modules, bug583. [Jeremy Bennett]
|
|
|
|
|
|
2012-11-14 01:36:20 +00:00
|
|
|
|
**** Fix compile issues on MSVCC, bug571, bug577. [Amir Gonnen]
|
2012-11-05 15:22:19 +00:00
|
|
|
|
|
2012-11-21 11:55:28 +00:00
|
|
|
|
**** Fix --debug overriding preceding --dump-treei, bug580. [Jeremy Bennett]
|
|
|
|
|
|
2012-11-04 00:19:31 +00:00
|
|
|
|
|
2012-11-04 00:16:01 +00:00
|
|
|
|
* Verilator 3.842 2012/11/03
|
2012-09-07 23:51:41 +00:00
|
|
|
|
|
2012-11-03 00:30:47 +00:00
|
|
|
|
**** Add -x-initial-edge, bug570. [Jeremy Bennett]
|
|
|
|
|
|
2012-09-07 23:51:41 +00:00
|
|
|
|
**** Fix parameter pins interspersed with cells broke in 3.840. [Bernard Deadman]
|
|
|
|
|
|
2012-10-08 11:05:54 +00:00
|
|
|
|
**** Fix large shift error on large shift constants. [David Welch]
|
|
|
|
|
|
2012-11-04 00:11:53 +00:00
|
|
|
|
**** Fix $display mangling on GCC 4.7 and speed up, msg927, bug373, bug574. [R Diez]
|
2012-10-28 21:35:48 +00:00
|
|
|
|
|
2012-11-03 13:17:42 +00:00
|
|
|
|
**** Fix array of struct references giving false error, bug566. [Julius Baxter]
|
|
|
|
|
|
2012-10-30 07:02:35 +00:00
|
|
|
|
**** Fix missing var access functions when no DPI, bug572. [Amir Gonnen]
|
|
|
|
|
|
2012-11-03 00:30:47 +00:00
|
|
|
|
**** Fix name collision on unnamed blocks, bug567. [Chandan Egbert]
|
2012-11-02 23:55:34 +00:00
|
|
|
|
|
2012-11-03 12:01:19 +00:00
|
|
|
|
**** Fix name collision on task inputs, bug569. [Chandan Egbert]
|
|
|
|
|
|
2012-09-07 23:51:41 +00:00
|
|
|
|
|
2012-09-03 23:58:52 +00:00
|
|
|
|
* Verilator 3.841 2012/09/03
|
2012-08-02 11:02:57 +00:00
|
|
|
|
|
2012-08-27 01:13:47 +00:00
|
|
|
|
*** Add --savable to support model save/restore. [Jeremy Bennett]
|
|
|
|
|
|
2012-08-12 19:15:21 +00:00
|
|
|
|
*** Support '{} assignment pattern on structures, part of bug355.
|
|
|
|
|
|
2012-08-02 11:02:57 +00:00
|
|
|
|
**** Fix double-deep parameter cell WIDTHs, bug541. [Hiroki Honda]
|
|
|
|
|
|
2012-08-10 23:39:18 +00:00
|
|
|
|
**** Fix imports under multiple instantiated cells, bug542. [Alex Solomatnikov]
|
2012-08-09 01:59:17 +00:00
|
|
|
|
|
2012-08-10 23:39:18 +00:00
|
|
|
|
**** Fix defparam in generate broke in 3.840, bug543. [Alex Solomatnikov]
|
|
|
|
|
|
|
|
|
|
**** Fix duplicate begin error broke in 3.840, bug548. [Alex Solomatnikov]
|
2012-08-07 22:24:51 +00:00
|
|
|
|
|
2012-08-16 01:28:30 +00:00
|
|
|
|
**** Fix triangle symbol resolution error broke in 3.840, bug550. [Ted Campbell]
|
|
|
|
|
|
2012-08-02 11:02:57 +00:00
|
|
|
|
|
2012-07-31 22:09:00 +00:00
|
|
|
|
* Verilator 3.840 2012/07/31 Beta
|
2012-04-17 00:20:58 +00:00
|
|
|
|
|
2012-04-22 01:45:28 +00:00
|
|
|
|
** Rewrote tristate handling; supports tri0, tri1, tristate bit selects,
|
|
|
|
|
concatenates and pullup/pulldowns, bug395, bug56, bug54, bug51.
|
|
|
|
|
[Alex Solomatnikov, Lane Brooks, et al]
|
|
|
|
|
|
2012-07-29 14:16:20 +00:00
|
|
|
|
** Support packed structures and unions, bug181.
|
|
|
|
|
Note this was a major internal change that may lead to some instability.
|
2012-04-29 14:14:13 +00:00
|
|
|
|
|
2012-04-22 01:45:28 +00:00
|
|
|
|
*** Support tri0 and tri1, bug462. [Alex Solomatnikov]
|
|
|
|
|
|
2012-04-24 00:13:07 +00:00
|
|
|
|
*** Support nmos and pmos, bug488. [Alex Solomatnikov]
|
|
|
|
|
|
2012-04-27 00:40:13 +00:00
|
|
|
|
*** Add INITIALDLY warning on initial assignments, bug478. [Alex Solomatnikov]
|
|
|
|
|
|
2012-04-26 22:43:12 +00:00
|
|
|
|
*** Add PINMISSING and PINNOCONNECT lint checks.
|
|
|
|
|
|
2012-07-18 01:29:10 +00:00
|
|
|
|
*** Add --converge-limit option.
|
2012-05-31 22:56:31 +00:00
|
|
|
|
|
2012-04-20 02:53:52 +00:00
|
|
|
|
*** Fix generate operators not short circuiting, bug413. [by Jeremy Bennett]
|
2012-03-08 04:02:24 +00:00
|
|
|
|
|
2012-05-04 01:59:47 +00:00
|
|
|
|
*** Fix parameters not supported in constant functions, bug474. [Alex Solomatnikov]
|
|
|
|
|
|
2012-05-22 01:31:52 +00:00
|
|
|
|
**** Fix duplicate warnings/errors, bug516. [Alex Solomatnikov]
|
|
|
|
|
|
2012-05-17 02:18:52 +00:00
|
|
|
|
**** Fix signed extending biops with WIDTH warning off, bug511. [Junji Hashimoto]
|
|
|
|
|
|
2012-04-27 02:30:22 +00:00
|
|
|
|
**** Fix ITOD internal error on real conversions, bug491. [Alex Solomatnikov]
|
|
|
|
|
|
2012-05-03 00:53:38 +00:00
|
|
|
|
**** Fix input and real loosing real data type, bug501. [Alex Solomatnikov]
|
|
|
|
|
|
2012-04-25 01:21:26 +00:00
|
|
|
|
**** Fix imports causing symbol table error, bug490. [Alex Solomatnikov]
|
2012-04-22 01:45:28 +00:00
|
|
|
|
|
2012-05-07 12:58:29 +00:00
|
|
|
|
**** Fix newlines in radix values, bug507. [Walter Lavino]
|
|
|
|
|
|
2012-05-16 23:31:24 +00:00
|
|
|
|
**** Fix loop error message to report line, bug513. [Jeremy Bennett]
|
|
|
|
|
|
2012-07-18 01:29:10 +00:00
|
|
|
|
**** Fix false UNUSED warning on file system calls.
|
2012-06-03 12:20:12 +00:00
|
|
|
|
|
2012-07-15 16:27:36 +00:00
|
|
|
|
**** Fix GCC 4.7.0 compile warnings, bug530. [Jeremy Bennett]
|
|
|
|
|
|
2012-07-15 15:28:26 +00:00
|
|
|
|
**** Fix svdpi.h compile error on Apple OS.
|
|
|
|
|
|
2012-07-22 23:51:14 +00:00
|
|
|
|
**** Fix compile error under git submodules, bug534. [Aurelien Francillon]
|
|
|
|
|
|
2012-05-07 12:58:29 +00:00
|
|
|
|
|
2012-04-15 20:21:31 +00:00
|
|
|
|
* Verilator 3.833 2012/04/15
|
2012-03-09 23:37:38 +00:00
|
|
|
|
|
2012-03-23 01:02:38 +00:00
|
|
|
|
*** Support += and -= in standard for loops, bug463. [Alex Solomatnikov]
|
|
|
|
|
|
2012-04-02 11:17:37 +00:00
|
|
|
|
*** Fix processing unused parametrized modules, bug469, bug470. [Alex Solomatnikov]
|
2012-03-24 19:54:06 +00:00
|
|
|
|
|
2012-04-05 01:55:20 +00:00
|
|
|
|
**** Add SELRANGE as warning instead of error, bug477. [Alex Solomatnikov]
|
|
|
|
|
|
2012-04-15 18:51:04 +00:00
|
|
|
|
**** Add readme.pdf and internal.pdf and doxygen, bug483. [by Jeremy Bennett]
|
|
|
|
|
|
2012-04-12 10:48:02 +00:00
|
|
|
|
**** Fix change detections on arrays, bug364. [John Stevenson, Alex Solomatnikov]
|
2012-04-10 00:17:51 +00:00
|
|
|
|
|
2012-03-13 00:29:00 +00:00
|
|
|
|
**** Fix signed array warning, bug456. [Alex Solomatnikov]
|
|
|
|
|
|
2012-03-23 12:49:47 +00:00
|
|
|
|
**** Fix genvar and begin under generate, bug461. [Alex Solomatnikov]
|
|
|
|
|
|
2012-04-03 01:58:40 +00:00
|
|
|
|
**** Fix real constant parameter functions, bug475. [Alex Solomatnikov]
|
|
|
|
|
|
2012-03-09 23:37:38 +00:00
|
|
|
|
**** Fix and document --gdb option, bug454. [Jeremy Bennett]
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2012-03-30 11:26:00 +00:00
|
|
|
|
**** Fix OpenSolaris compile error. [Sanjay Singh]
|
|
|
|
|
|
2011-10-25 22:11:06 +00:00
|
|
|
|
|
2012-03-08 03:50:13 +00:00
|
|
|
|
* Verilator 3.832 2012/03/07
|
2012-03-03 16:29:09 +00:00
|
|
|
|
|
2012-01-26 13:10:50 +00:00
|
|
|
|
*** Fix memory delayed assignments from multiple clock domains. [Andrew Ling]
|
|
|
|
|
|
2012-03-08 01:14:18 +00:00
|
|
|
|
*** Support arrayed SystemC I/O pins. [Christophe Joly]
|
|
|
|
|
|
2012-01-26 13:10:50 +00:00
|
|
|
|
*** Report MULTIDRIVEN on memories set in multiple clock domains.
|
|
|
|
|
|
2012-03-08 01:14:18 +00:00
|
|
|
|
*** Report ENDLABEL on mismatching end labels, bug450. [Iztok Jeras]
|
2012-02-02 01:20:43 +00:00
|
|
|
|
|
2012-02-26 02:31:36 +00:00
|
|
|
|
**** Fix expansion of back-slashed escaped macros, bug441. [Alberto Del Rio]
|
|
|
|
|
|
2012-03-04 02:03:34 +00:00
|
|
|
|
**** Fix inheriting real and signed type across untyped parameters.
|
2012-03-03 21:29:06 +00:00
|
|
|
|
|
2012-02-26 02:31:36 +00:00
|
|
|
|
**** Fix core dump with over 100 deep UNOPTFLAT, bug432. [Joe Eiler]
|
2012-01-27 01:20:23 +00:00
|
|
|
|
|
2012-02-16 12:17:08 +00:00
|
|
|
|
**** Fix false command not found warning in makefiles. [Ruben Diez]
|
|
|
|
|
|
2012-02-22 02:25:11 +00:00
|
|
|
|
**** Fix hang when functions inside begin block. [David Welch]
|
2012-01-26 00:23:58 +00:00
|
|
|
|
|
2012-02-24 02:37:49 +00:00
|
|
|
|
**** Fix hang on recursive substitution `defines, bug443. [Alex Solomatnikov]
|
|
|
|
|
|
|
|
|
|
|
2012-01-20 12:02:39 +00:00
|
|
|
|
* Verilator 3.831 2012/01/20
|
2011-11-27 17:03:22 +00:00
|
|
|
|
|
2012-01-20 01:30:41 +00:00
|
|
|
|
** Support SystemC 2.3.0 prerelease. This requires setting the new
|
|
|
|
|
SYSTEMC_INCLUDE and SYSTEMC_LIBDIR variables in place of now
|
|
|
|
|
deprecated SYSTEMC and SYSTEMC_ARCH. [Iztok Jeras]
|
|
|
|
|
|
2011-11-27 17:03:22 +00:00
|
|
|
|
**** Suppress VARHIDDEN on dpi import arguments. [Ruben Diez]
|
|
|
|
|
|
2011-11-29 23:23:18 +00:00
|
|
|
|
**** Support "generate for (genvar i=0; ...". [David Kravitz]
|
|
|
|
|
|
2011-11-29 02:15:57 +00:00
|
|
|
|
**** Fix dpi exports with > 32 bit but < 64 bit args, bug423. [Chandan Egbert]
|
|
|
|
|
|
2011-11-29 03:10:43 +00:00
|
|
|
|
**** Fix array of instantiations with sub-range output, bug414. [Jeremy Bennett]
|
|
|
|
|
|
2012-01-20 02:15:21 +00:00
|
|
|
|
**** Fix BLKSEQ warnings on variables declared inside always. [Ruben Diez]
|
2011-11-27 17:03:22 +00:00
|
|
|
|
|
2012-01-20 12:02:39 +00:00
|
|
|
|
|
2011-11-27 15:37:23 +00:00
|
|
|
|
* Verilator 3.830 2011/11/27
|
2011-10-25 23:11:45 +00:00
|
|
|
|
|
2011-11-25 05:49:38 +00:00
|
|
|
|
** With "--language VAMS" support a touch of Verilog AMS. [Holger Waechtler]
|
|
|
|
|
|
2011-10-26 12:57:27 +00:00
|
|
|
|
*** Add sc_bv attribute to force bit vectors, bug402. [by Stefan Wallentowitz]
|
|
|
|
|
|
2011-10-28 22:57:40 +00:00
|
|
|
|
**** Search for user -y paths before default current directory. [Ruben Diez]
|
|
|
|
|
|
2011-11-02 22:34:17 +00:00
|
|
|
|
**** Support constants in sensitivity lists, bug412. [Jeremy Bennett]
|
|
|
|
|
|
2011-11-20 07:01:48 +00:00
|
|
|
|
**** Support $system. [Ruben Diez]
|
|
|
|
|
|
2012-03-10 15:56:48 +00:00
|
|
|
|
**** Support $sscanf with %g. [Holger Waechtler]
|
2011-11-20 06:01:02 +00:00
|
|
|
|
|
2011-11-01 01:39:15 +00:00
|
|
|
|
**** Indicate 'exiting due to errors' if errors, not warnings. [Ruben Diez]
|
|
|
|
|
|
2011-11-12 13:07:30 +00:00
|
|
|
|
**** Fix bad result with if-else-return optimization, bug420. [Alex Solomatnikov]
|
|
|
|
|
|
2011-10-28 00:56:38 +00:00
|
|
|
|
**** Fix reporting not found modules if generate-off, bug403. [Jeremy Bennett]
|
|
|
|
|
|
2012-03-10 15:56:48 +00:00
|
|
|
|
**** Fix $display with %d following %g. [Holger Waechtler]
|
2011-11-20 05:45:59 +00:00
|
|
|
|
|
2011-10-25 23:11:45 +00:00
|
|
|
|
|
2011-10-25 22:59:40 +00:00
|
|
|
|
* Verilator 3.824 2011/10/25
|
2011-10-25 22:08:24 +00:00
|
|
|
|
|
|
|
|
|
*** Fix "always @ (* )", bug403, bug404. [Walter Lavino]
|
|
|
|
|
|
2011-10-25 22:57:49 +00:00
|
|
|
|
*** Add ASSIGNIN as suppressable error. [Jeremy Bennett]
|
2011-10-25 22:41:04 +00:00
|
|
|
|
|
2011-10-25 22:57:49 +00:00
|
|
|
|
**** Fix 3.823 constructor core dump on Debian, bug401. [Ahmed El-Mahmoudy]
|
2011-10-25 22:08:24 +00:00
|
|
|
|
|
2011-10-25 22:59:40 +00:00
|
|
|
|
|
2011-10-25 22:11:06 +00:00
|
|
|
|
* Verilator 3.823 2011/10/20
|
2011-09-21 13:08:05 +00:00
|
|
|
|
|
2011-09-29 01:35:16 +00:00
|
|
|
|
*** Support $ceil, $floor, etc. [Alex Solomatnikov]
|
|
|
|
|
|
2011-10-07 10:48:40 +00:00
|
|
|
|
*** Add configure options for cc warnings and extended tests. [Ruben Diez]
|
|
|
|
|
|
2011-10-21 00:50:42 +00:00
|
|
|
|
*** Add -Wall reporting ASSIGNDLY on assignment delays. [Ruben Diez]
|
|
|
|
|
|
2011-10-07 12:29:34 +00:00
|
|
|
|
*** Fix UNDRIVEN warnings inside DPI import functions. [Ruben Diez]
|
|
|
|
|
|
|
|
|
|
*** Fix --help output to go to stderr, not stdout, bug397. [Ruben Diez]
|
|
|
|
|
|
2011-10-07 23:47:10 +00:00
|
|
|
|
**** Fix DPI import output of 64 bits, bug398. [Mike Denio]
|
|
|
|
|
|
2011-10-08 00:04:15 +00:00
|
|
|
|
**** Fix DPI import false BLKSEQ warnings. [Alex Solomatnikov]
|
|
|
|
|
|
2011-09-21 13:08:05 +00:00
|
|
|
|
**** Fix MSVC compile warning with trunc/round, bug394. [Amir Gonnen]
|
|
|
|
|
|
2011-10-05 21:07:10 +00:00
|
|
|
|
**** Fix autoconf and Makefile warnings, bug396. [Ruben Diez]
|
2011-10-04 12:48:37 +00:00
|
|
|
|
|
2011-09-21 13:08:05 +00:00
|
|
|
|
|
2011-09-15 01:12:40 +00:00
|
|
|
|
* Verilator 3.821 2011/09/14
|
2011-08-09 23:56:22 +00:00
|
|
|
|
|
2011-08-23 01:02:09 +00:00
|
|
|
|
**** Fix PowerPC runtime error, bug288. [Ahmed El-Mahmoudy]
|
|
|
|
|
|
2011-08-09 23:56:22 +00:00
|
|
|
|
**** Fix internal error on integer casts, bug374. [Chandan Egbert]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2011-07-28 23:53:54 +00:00
|
|
|
|
* Verilator 3.820 2011/07/28
|
2011-06-29 01:26:49 +00:00
|
|
|
|
|
2011-07-24 19:01:51 +00:00
|
|
|
|
** Support 'real' numbers and related functions.
|
|
|
|
|
|
2011-07-02 16:45:26 +00:00
|
|
|
|
*** Support 'const' variables in limited cases; similar to enums. [Alex Solomatnikov]
|
|
|
|
|
|
2011-06-29 01:26:49 +00:00
|
|
|
|
*** Support disable for loop escapes.
|
|
|
|
|
|
2011-07-01 17:41:21 +00:00
|
|
|
|
*** Support $fopen and I/O with integer instead of `verilator_file_descriptor.
|
|
|
|
|
|
2011-07-28 23:41:05 +00:00
|
|
|
|
*** Support coverage in -cc and -sc output modes. [John Li]
|
2014-05-08 11:15:44 +00:00
|
|
|
|
Note this requires SystemPerl 1.338 or newer.
|
2011-07-28 23:41:05 +00:00
|
|
|
|
|
2011-07-27 17:03:49 +00:00
|
|
|
|
**** Fix vpi_register_cb using bad s_cb_data, bug370. [by Thomas Watts]
|
|
|
|
|
|
2011-07-14 11:39:11 +00:00
|
|
|
|
**** Fix $display missing leading zeros in %0d, bug367. [Alex Solomatnikov]
|
|
|
|
|
|
2011-06-29 23:19:01 +00:00
|
|
|
|
**** Use 'vluint64_t' for SystemC instead of (same sized) 'uint64' for MSVC++.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2011-06-29 00:52:04 +00:00
|
|
|
|
* Verilator 3.813 2011/06/28
|
2011-04-13 23:34:14 +00:00
|
|
|
|
|
2011-06-29 00:45:50 +00:00
|
|
|
|
*** Support bit vectors > 64 bits wide in DPI import and exports.
|
2011-04-13 23:34:14 +00:00
|
|
|
|
|
2011-05-21 12:19:33 +00:00
|
|
|
|
*** Fix out of memory on slice syntax error, bug354. [Alex Solomatnikov]
|
|
|
|
|
|
2011-06-29 00:45:50 +00:00
|
|
|
|
**** Fix error on enum references to other packages, bug339. [Alex Solomatnikov]
|
|
|
|
|
|
2011-05-12 10:32:29 +00:00
|
|
|
|
**** Fix DPI undeclared svBitVecVal compile error, bug346. [Chandan Egbert]
|
|
|
|
|
|
2011-06-29 00:45:50 +00:00
|
|
|
|
**** Fix DPI bit vector compile errors, bug347, bug359. [Chandan Egbert]
|
2011-05-12 11:35:28 +00:00
|
|
|
|
|
2011-04-18 14:47:02 +00:00
|
|
|
|
**** Fix CDCRSTLOGIC report showing endpoint flops without resets.
|
|
|
|
|
|
2011-05-10 03:49:17 +00:00
|
|
|
|
**** Fix compiler warnings on SPARC, bug288. [Ahmed El-Mahmoudy]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2011-04-06 11:33:44 +00:00
|
|
|
|
* Verilator 3.812 2011/04/06
|
2011-02-24 00:04:15 +00:00
|
|
|
|
|
2011-04-13 23:34:14 +00:00
|
|
|
|
*** Add --trace-max-width and --trace-max-array, bug319. [Alex Solomatnikov]
|
2011-02-24 02:58:27 +00:00
|
|
|
|
|
2011-03-22 22:09:39 +00:00
|
|
|
|
*** Add --Wno-fatal to turn off abort on warnings. [by Stefan Wallentowitz]
|
|
|
|
|
|
2011-03-21 16:25:31 +00:00
|
|
|
|
**** Support ${...} and $(...) env vars in .vc files. [by Stefan Wallentowitz]
|
|
|
|
|
|
2011-02-24 00:04:15 +00:00
|
|
|
|
**** Support $bits(data_type), bug327. [Alex Solomatnikov]
|
|
|
|
|
|
2011-04-13 23:34:14 +00:00
|
|
|
|
**** Support loop unrolling on width mismatches, bug333. [Joe Eiler]
|
2011-03-12 12:45:04 +00:00
|
|
|
|
|
2011-03-18 02:25:49 +00:00
|
|
|
|
**** Support simple cast operators, bug335. [Alex Solomatnikov]
|
|
|
|
|
|
2011-02-24 02:36:38 +00:00
|
|
|
|
**** Accelerate bit-selected inversions.
|
|
|
|
|
|
2011-03-08 01:44:19 +00:00
|
|
|
|
**** Add error on circular parameter definitions, bug329. [Alex Solomatnikov]
|
|
|
|
|
|
2011-02-24 02:21:59 +00:00
|
|
|
|
**** Fix concatenates and vectored bufif1, bug326. [Iztok Jeras]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2011-02-15 00:05:55 +00:00
|
|
|
|
* Verilator 3.811 2011/02/14
|
2011-01-06 11:46:19 +00:00
|
|
|
|
|
2011-01-29 23:00:48 +00:00
|
|
|
|
**** Report errors on duplicated or empty pins, bug321. [Christian Leber]
|
2011-01-29 22:01:06 +00:00
|
|
|
|
|
2011-02-15 00:25:30 +00:00
|
|
|
|
**** Report error on function call output tied to constant. [Bernard Deadman]
|
|
|
|
|
|
2011-01-19 02:28:51 +00:00
|
|
|
|
**** Throw UNUSED/UNDRIVEN only once per net in a parametrized module.
|
|
|
|
|
|
2011-02-15 00:25:30 +00:00
|
|
|
|
**** Fix internal error on functions called as SV tasks. [Bernard Deadman]
|
|
|
|
|
|
2011-02-08 00:15:58 +00:00
|
|
|
|
**** Fix internal error on non-inlined inout pins. [Jeff Winston]
|
|
|
|
|
|
2011-01-06 11:46:19 +00:00
|
|
|
|
**** Fix false BLKSEQ on non-unrolled for loop indexes. [Jeff Winston]
|
|
|
|
|
|
2011-01-11 23:46:21 +00:00
|
|
|
|
**** Fix block comment not separating identifiers, bug311. [Gene Sullivan]
|
|
|
|
|
|
2011-01-17 19:10:01 +00:00
|
|
|
|
**** Fix warnings to point to lowest net usage, not upper level ports.
|
|
|
|
|
|
2011-01-31 12:05:04 +00:00
|
|
|
|
**** Fix error on constants connected to outputs, bug323. [Christian Leber]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2011-01-03 18:32:16 +00:00
|
|
|
|
* Verilator 3.810 2011/01/03
|
2010-11-03 11:02:32 +00:00
|
|
|
|
|
2010-12-25 19:39:41 +00:00
|
|
|
|
** Add limited support for VPI access to public signals, see docs.
|
|
|
|
|
|
2010-11-03 11:02:32 +00:00
|
|
|
|
*** Add -F option to read relative option files, bug297. [Neil Hamilton]
|
|
|
|
|
|
2010-12-08 01:18:47 +00:00
|
|
|
|
*** Support ++,--,+= etc as standalone statements. [Alex Solomatnikov]
|
|
|
|
|
|
2010-12-26 14:31:09 +00:00
|
|
|
|
**** When running with VERILATOR_ROOT, optionally find binaries under bin.
|
|
|
|
|
|
|
|
|
|
**** Suppress WIDTH warnings when adding/subtracting 1'b1.
|
|
|
|
|
|
|
|
|
|
** Add -Wall, -Wwarn-style, -Wno-style to enable code style warnings
|
|
|
|
|
that have been added to this release, and disabled by default:
|
2010-12-02 19:00:43 +00:00
|
|
|
|
|
2010-12-31 23:36:29 +00:00
|
|
|
|
*** With --Wall, add BLKSEQ warning on blocking assignments in seq blocks.
|
|
|
|
|
|
2010-12-25 21:31:22 +00:00
|
|
|
|
*** With --Wall, add DECLFILENAME warning on modules not matching filename.
|
|
|
|
|
|
2010-12-25 20:50:07 +00:00
|
|
|
|
*** With --Wall, add DEFPARAM warning on deprecated defparam statements.
|
|
|
|
|
|
2010-12-26 14:31:09 +00:00
|
|
|
|
*** With --Wall, add IFDEPTH warning on deep if statements.
|
2010-12-25 20:13:56 +00:00
|
|
|
|
|
2010-12-26 14:31:09 +00:00
|
|
|
|
*** With --Wall, add INCABSPATH warning on `include with absolute paths.
|
2010-12-07 16:43:43 +00:00
|
|
|
|
|
2010-12-31 12:51:14 +00:00
|
|
|
|
*** With --Wall, add SYNCASYNCNET warning on mixed sync/async reset nets.
|
|
|
|
|
|
2010-12-29 13:06:05 +00:00
|
|
|
|
*** With --Wall, add UNDRIVEN warning on undriven nets.
|
|
|
|
|
|
|
|
|
|
*** With --Wall, add UNUSED warning on unused nets.
|
|
|
|
|
|
2010-12-26 14:31:09 +00:00
|
|
|
|
*** The VARHIDDEN warning is now disabled by default, use -Wall to enable.
|
2010-12-25 20:28:13 +00:00
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2010-11-03 01:11:02 +00:00
|
|
|
|
* Verilator 3.805 2010/11/02
|
2010-09-28 13:33:59 +00:00
|
|
|
|
|
2010-10-28 13:51:36 +00:00
|
|
|
|
**** Add warning when directory contains spaces, msg378. [Salman Sheikh]
|
|
|
|
|
|
2010-09-28 13:33:59 +00:00
|
|
|
|
**** Fix wrong filename on include file errors, bug289. [Brad Parker]
|
|
|
|
|
|
2010-10-04 11:48:09 +00:00
|
|
|
|
**** Fix segfault on SystemVerilog "output wire foo=0", bug291. [Joshua Wise]
|
|
|
|
|
|
2010-10-22 17:10:31 +00:00
|
|
|
|
**** Fix DPI export name not found, msg369. [Terry Chen]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2010-09-20 19:46:17 +00:00
|
|
|
|
* Verilator 3.804 2010/09/20
|
2010-08-10 15:18:53 +00:00
|
|
|
|
|
2010-08-29 23:28:46 +00:00
|
|
|
|
*** Support tracing/coverage of underscore signals, bug280. [by Jason McMullan]
|
|
|
|
|
|
2010-09-20 19:20:16 +00:00
|
|
|
|
**** Fix preprocessor `` of existing base define, bug283. [Usha Priyadharshini]
|
|
|
|
|
|
2010-08-10 15:18:53 +00:00
|
|
|
|
**** Increase define recursions before error. [Paul Liu]
|
|
|
|
|
|
2010-09-20 13:21:29 +00:00
|
|
|
|
**** On core dump, print debug suggestions.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2010-07-10 22:31:20 +00:00
|
|
|
|
* Verilator 3.803 2010/07/10
|
2010-05-25 23:37:45 +00:00
|
|
|
|
|
2010-07-10 22:30:16 +00:00
|
|
|
|
*** Fix preprocessor preservation of newlines across macro substitutions.
|
|
|
|
|
|
|
|
|
|
**** Fix preprocessor stringification of nested macros.
|
|
|
|
|
|
2010-05-25 23:37:45 +00:00
|
|
|
|
**** Fix some constant parameter functions causing crash, bug253. [Nick Bowler]
|
|
|
|
|
|
2010-07-07 23:15:51 +00:00
|
|
|
|
**** Fix do {...} while() not requiring final semicolon.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2010-05-01 18:18:56 +00:00
|
|
|
|
* Verilator 3.802 2010/05/01
|
2010-03-17 12:22:49 +00:00
|
|
|
|
|
|
|
|
|
*** Support runtime access to public signal names.
|
|
|
|
|
|
2010-04-06 00:08:07 +00:00
|
|
|
|
*** Add /*verilator public_flat_rw*/ for timing-specific public access.
|
2010-04-06 00:01:17 +00:00
|
|
|
|
|
2010-04-10 01:51:15 +00:00
|
|
|
|
*** Fix word size to match uint64_t on -m64 systems, bug238. [Joe Eiler]
|
|
|
|
|
|
2010-04-22 13:40:53 +00:00
|
|
|
|
**** Improve error handling on slices of arrays, bug226. [by Byron Bradley]
|
2010-03-22 22:38:24 +00:00
|
|
|
|
|
2010-03-25 02:08:59 +00:00
|
|
|
|
**** Report errors when extra underscores used in meta-comments.
|
|
|
|
|
|
2010-04-22 13:40:53 +00:00
|
|
|
|
**** Fix bit reductions on multi-packed dimensions, bug227. [by Byron Bradley]
|
2010-04-10 01:05:46 +00:00
|
|
|
|
|
2010-04-19 23:38:22 +00:00
|
|
|
|
**** Fix removing $fscanf if assigned to unused var, bug248. [Ashutosh Das]
|
|
|
|
|
|
2010-03-18 00:20:40 +00:00
|
|
|
|
**** Fix "make install" with configure outside srcdir. [Stefan Wallentowitz]
|
|
|
|
|
|
2010-04-17 12:01:22 +00:00
|
|
|
|
**** Fix loop unroller out of memory; change --unroll-stmts. [Ashutosh Das]
|
|
|
|
|
|
2010-03-22 22:38:24 +00:00
|
|
|
|
**** Fix trace files with empty modules crashing some viewers.
|
2010-03-21 01:29:16 +00:00
|
|
|
|
|
2010-04-07 00:20:44 +00:00
|
|
|
|
**** Fix parsing single files > 2GB. [Jeffrey Short]
|
|
|
|
|
|
2010-04-10 00:21:00 +00:00
|
|
|
|
**** Fix installing data files as non-executable, bug168. [by Ahmed El-Mahmoudy]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2010-03-17 11:59:12 +00:00
|
|
|
|
* Verilator 3.801 2010/03/17
|
2010-02-08 14:28:40 +00:00
|
|
|
|
|
2010-02-14 15:01:21 +00:00
|
|
|
|
*** Support "break", "continue", "return".
|
|
|
|
|
|
2010-03-17 11:59:12 +00:00
|
|
|
|
*** Support "`default_nettype none|wire". [Dominic Plunkett]
|
2010-02-23 14:27:16 +00:00
|
|
|
|
|
2010-02-09 01:51:43 +00:00
|
|
|
|
**** Skip SystemC tests if not installed. [Iztok Jeras]
|
|
|
|
|
|
2010-03-16 22:50:26 +00:00
|
|
|
|
**** Fix clock-gates with non-AND complex logic, bug220. [Ashutosh Das]
|
|
|
|
|
|
2010-03-13 01:00:08 +00:00
|
|
|
|
**** Fix flushing VCD buffers on $stop. [Ashutosh Das]
|
|
|
|
|
|
2010-02-27 00:50:44 +00:00
|
|
|
|
**** Fix Mac OS-X compile issues, bug217. [Joshua Wise, Trevor Williams]
|
|
|
|
|
|
2010-02-08 14:28:40 +00:00
|
|
|
|
**** Fix make uninstall, bug216. [Iztok Jeras]
|
|
|
|
|
|
2010-02-21 12:20:39 +00:00
|
|
|
|
**** Fix parametrized defines with empty arguments.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2010-02-07 12:01:13 +00:00
|
|
|
|
* Verilator 3.800 2010/02/07
|
2009-11-03 03:14:11 +00:00
|
|
|
|
|
2010-02-07 00:56:14 +00:00
|
|
|
|
Application visible changes:
|
|
|
|
|
|
2010-01-24 23:37:01 +00:00
|
|
|
|
** SystemPerl is no longer required for tracing.
|
|
|
|
|
Applications must use VerilatedVcdC class in place of SpTraceVcdC.
|
|
|
|
|
|
2010-01-22 02:58:45 +00:00
|
|
|
|
** SystemVerilog 1800-2009 is now the default language.
|
|
|
|
|
Thus "global" etc are now keywords. See the --language option.
|
|
|
|
|
|
2010-02-07 00:56:14 +00:00
|
|
|
|
New features:
|
|
|
|
|
|
2009-11-24 14:11:25 +00:00
|
|
|
|
** Support SystemVerilog types "byte", "chandle", "int", "longint",
|
|
|
|
|
"shortint", "time", "var" and "void" in variables and functions.
|
2009-11-03 03:14:11 +00:00
|
|
|
|
|
2009-11-10 00:09:27 +00:00
|
|
|
|
** Support "program", "package", "import" and $unit.
|
2009-11-03 03:50:31 +00:00
|
|
|
|
|
2009-12-27 13:29:55 +00:00
|
|
|
|
** Support typedef and enum. [by Donal Casey]
|
2009-11-05 14:57:23 +00:00
|
|
|
|
|
2009-12-20 13:27:00 +00:00
|
|
|
|
** Support direct programming interface (DPI) "import" and "export".
|
2010-01-07 23:51:52 +00:00
|
|
|
|
Includes an extension to map user $system PLI calls to the DPI.
|
2009-12-03 11:55:29 +00:00
|
|
|
|
|
2010-01-19 15:52:11 +00:00
|
|
|
|
*** Support assignments of multidimensional slices, bug170. [by Byron Bradley]
|
|
|
|
|
|
2010-01-19 18:18:40 +00:00
|
|
|
|
*** Support multidimensional inputs/outputs, bug171. [by Byron Bradley]
|
|
|
|
|
|
2009-11-10 00:09:27 +00:00
|
|
|
|
*** Support "reg [1:0][1:0][1:0]" and "reg x [3][2]", bug176. [Byron Bradley]
|
2009-11-06 00:26:44 +00:00
|
|
|
|
|
2009-11-10 21:29:58 +00:00
|
|
|
|
*** Support declarations in loop initializers, bug172. [by Byron Bradley]
|
2009-11-07 04:16:06 +00:00
|
|
|
|
|
2009-11-19 22:04:21 +00:00
|
|
|
|
*** Support $test$plusargs and $value$plusargs, but see the docs!
|
|
|
|
|
|
2009-11-24 02:24:55 +00:00
|
|
|
|
*** Support $sformat and $swrite.
|
|
|
|
|
|
2009-12-21 13:54:39 +00:00
|
|
|
|
*** Support 1800-2009 define defaults and `undefineall.
|
2009-12-21 03:26:48 +00:00
|
|
|
|
|
2010-02-07 00:56:14 +00:00
|
|
|
|
*** Add -CFLAGS, -LDFLAGS, <file>.a, <file>.o, and <file>.so options.
|
|
|
|
|
|
|
|
|
|
*** Speed compiles by avoiding including the STL iostream header.
|
|
|
|
|
Application programs may need to include it themselves to avoid errors.
|
2009-11-08 02:05:02 +00:00
|
|
|
|
|
2010-01-07 21:41:19 +00:00
|
|
|
|
*** Add experimental clock domain crossing checks.
|
|
|
|
|
|
2010-01-20 12:15:51 +00:00
|
|
|
|
*** Add experimental --pipe-filter to filter all Verilog input.
|
|
|
|
|
|
2010-01-21 11:11:30 +00:00
|
|
|
|
*** Add experimental config files to filter warnings outside of the source.
|
|
|
|
|
|
2010-02-07 00:56:14 +00:00
|
|
|
|
*** Add VARHIDDEN warning when signal name hides module name.
|
2010-01-15 02:03:06 +00:00
|
|
|
|
|
2009-11-10 21:29:58 +00:00
|
|
|
|
**** Support optional cell parenthesis, bug179. [by Byron Bradley]
|
|
|
|
|
|
2009-11-10 21:40:07 +00:00
|
|
|
|
**** Support for loop i++, ++i, i--, --i, bug175. [by Byron Bradley]
|
|
|
|
|
|
2010-01-28 14:41:24 +00:00
|
|
|
|
**** Support 1800-2009 /*comments*/ in define values.
|
|
|
|
|
|
2009-12-03 02:15:56 +00:00
|
|
|
|
**** Add Makefile VM_GLOBAL_FAST, listing objects needed to link executables.
|
|
|
|
|
|
2009-12-16 16:45:28 +00:00
|
|
|
|
**** Add --bbox-unsup option to black-box unsupported UDP tables.
|
|
|
|
|
|
2010-01-08 01:25:15 +00:00
|
|
|
|
**** Add -Wno-MODDUP option to allow duplicate modules.
|
|
|
|
|
|
2010-02-07 00:56:14 +00:00
|
|
|
|
Bug fixes:
|
2009-12-08 23:29:24 +00:00
|
|
|
|
|
2010-02-07 00:56:14 +00:00
|
|
|
|
**** Fix implicit variable issues, bug196, bug201. [Byron Bradley]
|
2010-01-11 17:36:12 +00:00
|
|
|
|
|
2010-02-07 00:56:14 +00:00
|
|
|
|
**** Fix 'for' variable typing, bug205. [by Byron Bradley]
|
2010-01-20 00:27:36 +00:00
|
|
|
|
|
2009-12-25 15:01:55 +00:00
|
|
|
|
**** Fix tracing with --pins-bv 1, bug195. [Michael S]
|
|
|
|
|
|
2010-01-24 11:20:10 +00:00
|
|
|
|
**** Fix MSVC++ 2008 compile issues, bug209. [Amir Gonnen]
|
|
|
|
|
|
2010-02-01 14:28:53 +00:00
|
|
|
|
**** Fix MinGW compilation, bug184, bug214. [by Shankar Giri, Amir Gonnen]
|
2009-11-24 14:11:25 +00:00
|
|
|
|
|
2010-01-16 16:53:08 +00:00
|
|
|
|
**** Fix Cygwin 1.7.x compiler error with uint32_t, bug204. [Ivan Djordjevic]
|
|
|
|
|
|
2009-11-23 19:26:04 +00:00
|
|
|
|
**** Fix `define argument mis-replacing system task of same name, bug191.
|
|
|
|
|
|
2009-11-10 21:29:58 +00:00
|
|
|
|
**** Fix Verilator core dump on wide integer divides, bug178. [Byron Bradley]
|
2009-11-10 15:44:50 +00:00
|
|
|
|
|
2010-01-09 17:31:58 +00:00
|
|
|
|
**** Fix lint_off/lint_on meta comments on same line as warning.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2009-10-27 00:19:23 +00:00
|
|
|
|
* Verilator 3.720 2009/10/26
|
2009-09-26 13:31:50 +00:00
|
|
|
|
|
2009-10-25 20:53:55 +00:00
|
|
|
|
** Support little endian bit vectors ("reg [0:2] x;").
|
|
|
|
|
|
2009-10-27 00:12:09 +00:00
|
|
|
|
** Support division and modulus of > 64 bit vectors. [Gary Thomas]
|
|
|
|
|
|
|
|
|
|
*** Fix writing to out-of-bounds arrays writing element 0.
|
2009-10-09 00:42:45 +00:00
|
|
|
|
|
2009-10-12 00:50:31 +00:00
|
|
|
|
**** Fix core dump with SystemVerilog var declarations under unnamed begins.
|
|
|
|
|
|
2009-09-26 13:31:50 +00:00
|
|
|
|
**** Fix VCD files showing internal flattened hierarchy, broke in 3.714.
|
|
|
|
|
|
2009-10-10 02:55:37 +00:00
|
|
|
|
**** Fix cell port connection to unsized integer causing false width warning.
|
|
|
|
|
|
2009-10-23 01:46:49 +00:00
|
|
|
|
**** Fix erroring on strings with backslashed newlines, bug168. [Pete Nixon]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2009-09-19 02:37:53 +00:00
|
|
|
|
* Verilator 3.714 2009/09/18
|
2009-09-07 19:54:12 +00:00
|
|
|
|
|
2009-09-16 13:28:09 +00:00
|
|
|
|
** Add --bbox-sys option to blackbox $system calls.
|
|
|
|
|
|
2009-09-16 14:32:14 +00:00
|
|
|
|
** Support generate for var++, var--, ++var, --var.
|
|
|
|
|
|
2009-09-07 19:54:12 +00:00
|
|
|
|
*** Improved warning when "do" used as identifier.
|
|
|
|
|
|
2009-09-18 01:31:03 +00:00
|
|
|
|
**** Don't require SYSTEMPERL_INCLUDE if SYSTEMPERL/src exists. [Gary Thomas]
|
|
|
|
|
|
2009-09-18 02:23:18 +00:00
|
|
|
|
**** Fix deep defines causing flex scanner overflows. [Brad Dobbie]
|
|
|
|
|
|
2009-09-18 02:00:17 +00:00
|
|
|
|
**** Fix preprocessing commas in deep parameterized macros. [Brad Dobbie]
|
|
|
|
|
|
2009-09-11 23:25:42 +00:00
|
|
|
|
**** Fix tracing escaped dotted identifiers, bug107.
|
|
|
|
|
|
|
|
|
|
**** Fix $display with uppercase %M.
|
2009-09-07 19:55:54 +00:00
|
|
|
|
|
2009-09-15 22:11:21 +00:00
|
|
|
|
**** Fix --error-limit option being ignored.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2009-08-04 19:23:23 +00:00
|
|
|
|
* Verilator 3.713 2009/08/04
|
2009-07-16 13:19:15 +00:00
|
|
|
|
|
2009-07-17 18:13:11 +00:00
|
|
|
|
** Support constant function calls for parameters. [many!]
|
|
|
|
|
|
2009-07-16 13:19:15 +00:00
|
|
|
|
*** Support SystemVerilog "logic", bug101. [by Alex Duller]
|
|
|
|
|
|
2009-07-22 19:21:41 +00:00
|
|
|
|
*** Name SYMRSVDWORD error, and allow disabling it, bug103. [Gary Thomas]
|
|
|
|
|
|
2009-07-31 16:02:43 +00:00
|
|
|
|
**** Fix escaped preprocessor identifiers, bug106. [Nimrod Gileadi]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2009-07-14 12:42:01 +00:00
|
|
|
|
* Verilator 3.712 2009/07/14
|
2009-07-07 21:51:00 +00:00
|
|
|
|
|
2009-07-09 21:39:24 +00:00
|
|
|
|
** Patching SystemC is no longer required to trace sc_bvs.
|
2009-06-24 21:24:42 +00:00
|
|
|
|
|
2009-07-09 21:39:24 +00:00
|
|
|
|
*** Support zero-width constants in concatenations. [Jeff Winston]
|
2009-06-30 15:54:07 +00:00
|
|
|
|
|
2009-07-09 21:39:24 +00:00
|
|
|
|
*** Add verilator --pins-uint8 option to use sc_in<uint8_t/uint16_t>.
|
2009-06-29 13:21:21 +00:00
|
|
|
|
|
2009-07-09 21:39:24 +00:00
|
|
|
|
*** Add verilator -V option, to show verbose version.
|
|
|
|
|
|
|
|
|
|
*** On WIDTH warnings, show variable name causing error. [Jeff Winston]
|
2009-06-25 23:53:26 +00:00
|
|
|
|
|
2009-06-24 21:24:42 +00:00
|
|
|
|
**** Add BLKLOOPINIT error code, and describe --unroll-count. [Jeff Winston]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2009-06-23 18:09:38 +00:00
|
|
|
|
* Verilator 3.711 2009/06/23
|
2009-06-08 19:59:33 +00:00
|
|
|
|
|
2009-06-12 12:27:48 +00:00
|
|
|
|
**** Support decimal constants of arbitrary widths. [Mark Marshall]
|
|
|
|
|
|
2009-06-23 18:09:38 +00:00
|
|
|
|
**** Fix error on case statement with all duplicate items, bug99. [Gary Thomas]
|
2009-06-22 22:49:20 +00:00
|
|
|
|
|
2009-06-08 19:59:33 +00:00
|
|
|
|
**** Fix segfault on unrolling for's with bad inits, bug90. [Andreas Olofsson]
|
|
|
|
|
|
2009-06-09 19:37:52 +00:00
|
|
|
|
**** Fix tristates causing "Assigned pin is neither...". [by Lane Brooks]
|
|
|
|
|
|
2009-06-12 13:56:46 +00:00
|
|
|
|
**** Fix compiler errors under Fedora release candidate 11. [Chitlesh Goorah]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2009-05-19 11:50:56 +00:00
|
|
|
|
* Verilator 3.710 2009/05/19
|
2009-05-04 21:07:57 +00:00
|
|
|
|
|
|
|
|
|
** Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
|
|
|
|
|
2009-05-19 11:15:13 +00:00
|
|
|
|
*** `__FILE__ now expands to a string, per draft SystemVerilog 2010(ish).
|
|
|
|
|
|
2009-05-08 17:16:19 +00:00
|
|
|
|
**** The front end parser has been re-factored to enable more SV parsing.
|
2009-05-19 11:15:13 +00:00
|
|
|
|
Code should parse the same, but minor parsing bugs may pop up.
|
2009-05-08 17:16:19 +00:00
|
|
|
|
|
2009-05-08 19:05:12 +00:00
|
|
|
|
**** Verilator_includer is no longer installed twice, bug48. [Lane Brooks]
|
|
|
|
|
|
2009-05-08 17:16:19 +00:00
|
|
|
|
**** Fix escaped identifiers with '.' causing conflicts, bug83. [J Baxter]
|
|
|
|
|
|
2009-05-11 15:57:43 +00:00
|
|
|
|
**** Fix define formal arguments that contain newlines, bug84. [David A]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2009-05-02 11:38:24 +00:00
|
|
|
|
* Verilator 3.703 2009/05/02
|
2009-04-07 17:23:25 +00:00
|
|
|
|
|
2009-05-02 11:38:24 +00:00
|
|
|
|
*** Fix $clog2 calculation error with powers-of-2, bug81. [Patricio Kaplan]
|
2009-05-02 02:18:32 +00:00
|
|
|
|
|
2009-04-08 18:33:12 +00:00
|
|
|
|
**** Fix error with tasks that have output first, bug78. [Andrea Foletto]
|
|
|
|
|
|
2009-04-07 17:23:25 +00:00
|
|
|
|
**** Fix "cloning" error with -y/--top-module, bug76. [Dimitris Nalbantis]
|
|
|
|
|
|
2009-04-24 14:32:11 +00:00
|
|
|
|
**** Fix segfault with error on bad --top-module, bug79. [Dimitris Nalbantis]
|
|
|
|
|
|
2009-04-28 11:19:50 +00:00
|
|
|
|
**** Fix "redefining I" error with complex includes. [Duraid Madina]
|
|
|
|
|
|
2009-04-23 13:16:25 +00:00
|
|
|
|
**** Fix GCC 4.3.2 compile warnings.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2009-03-28 14:30:35 +00:00
|
|
|
|
* Verilator 3.702 2009/03/28
|
2009-03-12 18:07:38 +00:00
|
|
|
|
|
2009-03-13 18:17:30 +00:00
|
|
|
|
*** Add --pins-bv option to use sc_bv for all ports. [Brian Small]
|
|
|
|
|
|
2009-03-28 14:18:53 +00:00
|
|
|
|
*** Add SYSTEMPERL_INCLUDE envvar to assist RPM builds. [Chitlesh Goorah]
|
|
|
|
|
|
2009-03-23 18:57:15 +00:00
|
|
|
|
**** Report errors when duplicate labels are used, bug72. [Vasu Kandadi]
|
|
|
|
|
|
2009-03-12 18:07:38 +00:00
|
|
|
|
**** Fix the SC_MODULE name() to not include __PVT__. [Bob Fredieu]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2009-02-26 12:44:00 +00:00
|
|
|
|
* Verilator 3.701 2009/02/26
|
2009-01-09 16:28:50 +00:00
|
|
|
|
|
2009-02-26 03:06:59 +00:00
|
|
|
|
** Support repeat and forever statements. [Jeremy Bennett]
|
|
|
|
|
|
2009-01-25 02:35:08 +00:00
|
|
|
|
*** Add --debugi-<srcfile> option, for internal debugging. [Dennis Muhlestein]
|
2009-01-21 21:56:50 +00:00
|
|
|
|
|
2009-01-09 16:28:50 +00:00
|
|
|
|
**** Fix compile issues with GCC 4.3, bug47. [Lane Brooks]
|
|
|
|
|
|
2009-01-21 18:52:51 +00:00
|
|
|
|
**** Fix VL_RANDom to better randomize bits. [Art Stamness]
|
|
|
|
|
|
2009-01-26 12:57:59 +00:00
|
|
|
|
**** Fix error messages to consistently go to stderr. [Jeremy Bennett]
|
|
|
|
|
|
2009-02-08 01:54:09 +00:00
|
|
|
|
**** Fix left associativity for ?: operators.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2009-01-08 15:52:37 +00:00
|
|
|
|
* Verilator 3.700 2009/01/08
|
2008-11-13 01:54:58 +00:00
|
|
|
|
|
2009-01-21 21:56:50 +00:00
|
|
|
|
** Add limited support for tristate inouts. Written by Lane Brooks,
|
|
|
|
|
under support by Ubixum Inc. This allows common pad ring and
|
|
|
|
|
tristate-mux structures to be Verilated. See the documentation for
|
|
|
|
|
more information on supported constructs.
|
2009-01-06 16:03:57 +00:00
|
|
|
|
|
2008-12-12 20:34:02 +00:00
|
|
|
|
** Add --coverage_toggle for toggle coverage analysis.
|
2008-12-12 21:04:56 +00:00
|
|
|
|
Running coverage now requires SystemPerl 1.301 or newer.
|
2008-12-12 20:34:02 +00:00
|
|
|
|
|
|
|
|
|
*** Add /*verilator coverage_on/_off */ to bracket coverage regions.
|
2008-12-10 22:10:03 +00:00
|
|
|
|
|
2008-11-17 16:36:01 +00:00
|
|
|
|
*** Optimize two-level shift and and/or trees, +23% on one test.
|
|
|
|
|
|
2008-11-25 12:57:02 +00:00
|
|
|
|
*** Support posedge of bit-selected signals, bug45. [Rodney Sinclair]
|
|
|
|
|
|
2008-12-05 15:54:14 +00:00
|
|
|
|
*** Line coverage now aggregates by hierarchy automatically.
|
|
|
|
|
Previously this would be done inside SystemPerl, which was slower.
|
|
|
|
|
|
2008-11-25 12:57:02 +00:00
|
|
|
|
**** Minor performance improvements of Verilator compiler runtime.
|
2008-11-23 02:10:20 +00:00
|
|
|
|
|
2009-01-05 19:16:09 +00:00
|
|
|
|
**** Coverage of each parametarized module is counted separately. [Bob Fredieu]
|
|
|
|
|
|
2009-01-08 14:22:31 +00:00
|
|
|
|
**** Fix creating parameterized modules when no parameter values are changed.
|
|
|
|
|
|
2008-12-10 01:59:22 +00:00
|
|
|
|
**** Fix certain generate-if cells causing "clone" error. [Stephane Laurent]
|
|
|
|
|
|
2008-12-05 15:54:14 +00:00
|
|
|
|
**** Fix line coverage of public functions. [Soon Koh]
|
|
|
|
|
|
2008-12-03 15:11:28 +00:00
|
|
|
|
**** Fix SystemC 2.2 deprecated warnings about sensitive() and sc_start().
|
|
|
|
|
|
2008-11-19 14:43:03 +00:00
|
|
|
|
**** Fix arrayed variables under function not compiling, bug44. [Ralf Karge]
|
|
|
|
|
|
2008-11-17 22:13:57 +00:00
|
|
|
|
**** Fix --output-split-cfuncs to also split trace code. [Niranjan Prabhu]
|
|
|
|
|
|
2008-11-13 01:54:58 +00:00
|
|
|
|
**** Fix 'bad select range' warning missing some cases, bug43. [Lane Brooks]
|
|
|
|
|
|
2008-11-18 02:02:10 +00:00
|
|
|
|
**** Fix internal signal names containing control characters (broke in 3.680).
|
|
|
|
|
|
2008-12-22 16:28:42 +00:00
|
|
|
|
**** Fix compile error on Ubuntu 8.10. [Christopher Boumenot]
|
|
|
|
|
|
2008-12-30 19:34:01 +00:00
|
|
|
|
**** Fix internal error on "output x; reg x = y;".
|
|
|
|
|
|
2008-12-30 22:11:25 +00:00
|
|
|
|
**** Fix wrong result for read of delayed FSM signal, bug46. [Rodney Sinclair]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-11-12 20:32:22 +00:00
|
|
|
|
* Verilator 3.681 2008/11/12
|
2008-10-10 23:02:27 +00:00
|
|
|
|
|
|
|
|
|
*** Add SystemVerilog unique and priority case.
|
|
|
|
|
|
2008-10-29 01:09:45 +00:00
|
|
|
|
**** Include Verilog file's directory name in coverage reports.
|
|
|
|
|
|
2008-10-29 01:38:01 +00:00
|
|
|
|
**** Fix 'for' under 'generate-for' causing error; bug38. [Rafael Shirakawa]
|
|
|
|
|
|
2008-11-04 22:19:59 +00:00
|
|
|
|
**** Fix coverage hierarchy being backwards with inlining. [Vasu Arasanipalai]
|
|
|
|
|
|
2008-10-29 01:09:45 +00:00
|
|
|
|
**** Fix GCC 4.3 compile error; bug35. [Lane Brooks]
|
|
|
|
|
|
2008-11-05 15:52:23 +00:00
|
|
|
|
**** Fix MSVC compile error; bug42. [John Stroebel]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-10-08 21:08:47 +00:00
|
|
|
|
* Verilator 3.680 2008/10/08
|
2008-09-22 23:36:08 +00:00
|
|
|
|
|
2008-10-06 13:59:22 +00:00
|
|
|
|
** Support negative bit indexes. [Stephane Laurent]
|
|
|
|
|
Tracing negative indexes requires latest Verilog-Perl and SystemPerl.
|
|
|
|
|
|
2008-09-22 23:36:08 +00:00
|
|
|
|
*** Suppress width warnings between constant strings and wider vectors.
|
|
|
|
|
[Rodney Sinclair]
|
|
|
|
|
|
2008-10-14 18:49:54 +00:00
|
|
|
|
**** Ignore SystemVerilog timeunit and timeprecision.
|
|
|
|
|
|
2008-09-29 19:51:45 +00:00
|
|
|
|
**** Expand environment variables in -f input files. [Lawrence Butcher]
|
|
|
|
|
|
2008-09-23 13:35:00 +00:00
|
|
|
|
**** Report error if port declaration is missing; bug32. [Guy-Armand Kamendje]
|
|
|
|
|
|
2008-09-30 12:58:07 +00:00
|
|
|
|
**** Fix genvars causing link error when using --public. [Chris Candler]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-09-19 21:23:15 +00:00
|
|
|
|
* Verilator 3.671 2008/09/19
|
2008-08-01 19:30:17 +00:00
|
|
|
|
|
2008-09-18 13:20:16 +00:00
|
|
|
|
** SystemC uint64_t pins are now the default instead of sc_bv<64>.
|
|
|
|
|
Use --no-pins64 for backward compatibility.
|
|
|
|
|
|
2008-08-06 16:52:39 +00:00
|
|
|
|
*** Support SystemVerilog "cover property" statements.
|
|
|
|
|
|
2008-08-01 19:30:17 +00:00
|
|
|
|
*** When warnings are disabled on signals that are flattened out, disable
|
|
|
|
|
the warnings on the signal(s) that replace it.
|
|
|
|
|
|
2008-08-05 13:59:15 +00:00
|
|
|
|
*** Add by-design and by-module subtotals to verilator_profcfunc.
|
|
|
|
|
|
2008-08-06 21:09:33 +00:00
|
|
|
|
*** Fix extra evaluation of pure combo blocks in SystemC output.
|
|
|
|
|
|
2008-08-05 17:41:53 +00:00
|
|
|
|
**** Add IMPERFECTSCH warning, disabled by default.
|
|
|
|
|
|
2008-09-04 13:43:53 +00:00
|
|
|
|
**** Support coverage under SystemPerl 1.285 and newer.
|
|
|
|
|
|
2008-08-20 19:59:10 +00:00
|
|
|
|
**** Fix stack overflow on large ? : trees. [John Sanguinetti]
|
|
|
|
|
|
2008-09-18 02:22:46 +00:00
|
|
|
|
**** Support arbitrary characters in identifiers. [Stephane Laurent]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-07-23 11:51:32 +00:00
|
|
|
|
* Verilator 3.670 2008/07/23
|
2008-06-26 12:52:02 +00:00
|
|
|
|
|
2008-07-16 17:31:21 +00:00
|
|
|
|
** Add --x-assign=fast option, and make it the default.
|
|
|
|
|
This chooses performance over reset debugging. See the manual.
|
|
|
|
|
|
2008-07-16 18:06:08 +00:00
|
|
|
|
** Add --autoflush, for flushing streams after $display. [Steve Tong]
|
|
|
|
|
|
2008-07-23 12:58:48 +00:00
|
|
|
|
** Add CASEWITHX lint warning and if disabled fix handling of casez with Xs.
|
2008-07-22 17:07:19 +00:00
|
|
|
|
|
2008-07-01 18:15:10 +00:00
|
|
|
|
*** Add $feof, $fgetc, $fgets, $fflush, $fscanf, $sscanf. [Holger Waechtler]
|
2008-06-26 12:52:02 +00:00
|
|
|
|
|
2008-07-14 17:16:05 +00:00
|
|
|
|
*** Add $stime. [Holger Waechtler]
|
|
|
|
|
|
2008-07-01 18:15:10 +00:00
|
|
|
|
*** Add $random.
|
2008-06-27 15:36:25 +00:00
|
|
|
|
|
2008-07-22 18:27:34 +00:00
|
|
|
|
*** Add --Wfuture-, for improving forward compatibility.
|
|
|
|
|
|
2008-07-01 20:10:09 +00:00
|
|
|
|
**** Fix verilator_includer not being installed properly. [Holger Waechtler]
|
|
|
|
|
|
2008-07-14 14:42:58 +00:00
|
|
|
|
**** Fix IMPURE errors due to X-assignment temporary variables. [Steve Tong]
|
|
|
|
|
|
2008-07-22 15:15:28 +00:00
|
|
|
|
**** Fix "lvalue" errors with public functions; bug25. [CY Wang]
|
|
|
|
|
|
2008-07-14 21:15:26 +00:00
|
|
|
|
**** Add WIDTH warning to $fopen etc file descriptors.
|
|
|
|
|
|
2008-06-30 18:31:58 +00:00
|
|
|
|
**** Internal changes to how $displays get compiled and executed.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-06-25 11:50:32 +00:00
|
|
|
|
* Verilator 3.665 2008/06/25
|
2008-05-28 19:58:18 +00:00
|
|
|
|
|
2008-06-11 19:13:41 +00:00
|
|
|
|
**** Ignore "// verilator" comments alone on endif lines. [Rodney Sinclair]
|
|
|
|
|
|
|
|
|
|
**** "Make install" now installs verilator_includer and verilator_profcfunc.
|
|
|
|
|
|
2008-06-12 00:33:53 +00:00
|
|
|
|
**** Fix tracing missing changes on undriven public wires. [Rodney Sinclair]
|
|
|
|
|
|
2008-06-04 14:45:32 +00:00
|
|
|
|
**** Fix syntax error when "`include `defname" is ifdefed. [John Dickol]
|
|
|
|
|
|
2008-06-04 15:39:44 +00:00
|
|
|
|
**** Fix error when macro call has commas in concatenate. [John Dickol]
|
|
|
|
|
|
2008-05-28 19:58:18 +00:00
|
|
|
|
**** Fix compile errors under Fedora 9, GCC 4.3.0. [by Jeremy Bennett]
|
|
|
|
|
|
2008-06-26 12:52:02 +00:00
|
|
|
|
**** Fix Makefile to find headers/libraries under prefix. [by Holger Waechtler]
|
2008-06-24 18:50:34 +00:00
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-05-08 16:37:19 +00:00
|
|
|
|
* Verilator 3.664 2008/05/08
|
2008-05-08 14:38:43 +00:00
|
|
|
|
|
|
|
|
|
**** Fix missing file in kit.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-05-07 18:27:23 +00:00
|
|
|
|
* Verilator 3.663 2008/05/07
|
2008-04-29 14:14:20 +00:00
|
|
|
|
|
2008-05-07 17:46:33 +00:00
|
|
|
|
**** Add DESTDIR to Makefiles to assist RPM construction. [Gunter Dannoritzer]
|
|
|
|
|
|
2008-04-29 14:14:20 +00:00
|
|
|
|
**** Fix compiler warnings under GCC 4.2.1.
|
|
|
|
|
|
2008-05-06 00:50:47 +00:00
|
|
|
|
**** Fix preprocessor `else after series of `elsif. [Mark Nodine]
|
|
|
|
|
|
2008-05-06 01:11:21 +00:00
|
|
|
|
**** Fix parametrized defines calling define with comma. [Joshua Wise]
|
|
|
|
|
|
2008-05-06 14:52:53 +00:00
|
|
|
|
**** Fix comma separated list of primitives. [by Bryan Brady]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-04-25 12:17:12 +00:00
|
|
|
|
* Verilator 3.662 2008/04/25
|
2008-04-09 13:56:40 +00:00
|
|
|
|
|
2008-04-24 13:52:51 +00:00
|
|
|
|
*** Add Verilog 2005 $clog2() function.
|
2008-04-25 12:17:12 +00:00
|
|
|
|
This is useful in calculating bus-widths from parameters.
|
2008-04-24 13:52:51 +00:00
|
|
|
|
|
2008-04-25 12:17:12 +00:00
|
|
|
|
*** Support /**/ comments in -f option files. [Stefan Thiede]
|
2008-04-09 13:56:40 +00:00
|
|
|
|
|
2008-04-25 12:17:12 +00:00
|
|
|
|
**** Add error message when modules have duplicate names. [Stefan Thiede]
|
2008-04-15 19:12:25 +00:00
|
|
|
|
|
2008-04-25 12:17:12 +00:00
|
|
|
|
**** Support defines terminated in EOF, though against spec. [Stefan Thiede]
|
2008-04-24 15:04:01 +00:00
|
|
|
|
|
2008-04-25 12:17:12 +00:00
|
|
|
|
**** Support optional argument to $finish and $stop. [by Stefan Thiede]
|
2008-04-24 14:32:39 +00:00
|
|
|
|
|
2008-04-25 12:17:12 +00:00
|
|
|
|
**** Support ranges on gate primitive instantiations. [Stefan Thiede]
|
|
|
|
|
|
|
|
|
|
**** Ignore old standard(ish) Verilog-XL defines. [by Stefan Thiede]
|
2008-04-24 15:36:46 +00:00
|
|
|
|
|
2008-04-14 21:47:39 +00:00
|
|
|
|
**** Fix "always @ ((a) or (b))" syntax error. [by Niranjan Prabhu]
|
|
|
|
|
|
|
|
|
|
**** Fix "output reg name=expr;" syntax error. [Martin Scharrer]
|
2008-04-14 21:10:34 +00:00
|
|
|
|
|
2008-04-09 14:17:03 +00:00
|
|
|
|
**** Fix multiple .v files being read in random order. [Stefan Thiede]
|
|
|
|
|
|
2008-04-17 15:47:16 +00:00
|
|
|
|
**** Fix internal error when params get non-constants. [Johan Wouters]
|
|
|
|
|
|
2008-04-25 14:01:50 +00:00
|
|
|
|
**** Fix bug introduced in 3.661 with parametrized defines.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-04-04 18:30:51 +00:00
|
|
|
|
* Verilator 3.661 2008/04/04
|
2008-03-25 13:42:48 +00:00
|
|
|
|
|
2008-03-28 19:42:14 +00:00
|
|
|
|
*** The --enable-defenv configure option added in 3.660 is now the default.
|
|
|
|
|
This hard-codes a default for VERILATOR_ROOT etc in the executables.
|
|
|
|
|
|
2008-03-28 20:41:21 +00:00
|
|
|
|
*** Add --language option for supporting older code. [Stefan Thiede]
|
|
|
|
|
|
2008-03-26 14:58:30 +00:00
|
|
|
|
*** Add --top-module option to select between multiple tops. [Stefan Thiede]
|
|
|
|
|
|
2008-04-02 12:53:53 +00:00
|
|
|
|
*** Unsized concatenates now give WIDTHCONCAT warnings. [Jonathan Kimmitt]
|
|
|
|
|
Previously they threw fatal errors, which in most cases is correct
|
|
|
|
|
according to spec, but can be incorrect in presence of parameter values.
|
|
|
|
|
|
2008-04-04 18:29:33 +00:00
|
|
|
|
**** Support functions with "input integer". [Johan Wouters]
|
|
|
|
|
|
2008-04-01 19:26:06 +00:00
|
|
|
|
**** Ignore delays attached to gate UDPs. [Stefan Thiede]
|
|
|
|
|
|
2008-03-27 13:21:49 +00:00
|
|
|
|
**** Fix SystemVerilog parameterized defines with `` expansion,
|
|
|
|
|
and fix extra whitespace inserted on substitution. [Vladimir Matveyenko]
|
|
|
|
|
|
2008-03-25 13:42:48 +00:00
|
|
|
|
**** Fix no-module include files on command line. [Stefan Thiede]
|
|
|
|
|
|
2008-03-26 14:58:30 +00:00
|
|
|
|
**** Fix dropping of backslash quoted-quote at end of $display.
|
2008-03-25 19:57:41 +00:00
|
|
|
|
|
2008-03-28 21:55:23 +00:00
|
|
|
|
**** Fix task output pin connected to non-variables. [Jonathan Kimmitt]
|
|
|
|
|
|
2008-03-28 19:42:14 +00:00
|
|
|
|
**** Fix missing test_v in install datadir. [Holger Waechtler]
|
|
|
|
|
|
2008-03-31 14:09:52 +00:00
|
|
|
|
**** Fix internal error after MSB < LSB error reported to user. [Stefan Thiede]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-03-23 13:58:27 +00:00
|
|
|
|
* Verilator 3.660 2008/03/23
|
2008-03-17 20:58:43 +00:00
|
|
|
|
|
2008-03-18 20:26:37 +00:00
|
|
|
|
*** Add support for hard-coding VERILATOR_ROOT etc in the executables,
|
|
|
|
|
to enable easier use of Verilator RPMs. [Gunter Dannoritzer]
|
|
|
|
|
|
2008-03-19 14:22:05 +00:00
|
|
|
|
*** Allow multiple .v files on command line. [Stefan Thiede]
|
|
|
|
|
|
2008-03-19 15:05:08 +00:00
|
|
|
|
*** Convert re-defining macro error to warning. [Stefan Thiede]
|
|
|
|
|
|
2008-03-20 00:14:26 +00:00
|
|
|
|
*** Add --error-limit option. [Stefan Thiede]
|
|
|
|
|
|
2008-03-20 01:16:33 +00:00
|
|
|
|
*** Allow __ in cell names by quoting them in C. [Stefan Thiede]
|
|
|
|
|
|
2008-03-17 20:58:43 +00:00
|
|
|
|
**** Fix genvar to be signed, so "< 0" works properly. [Niranjan Prabhu]
|
|
|
|
|
|
2008-03-18 12:41:59 +00:00
|
|
|
|
**** Fix assignments to inputs inside functions/tasks. [Patricio Kaplan]
|
|
|
|
|
|
2008-03-19 00:44:54 +00:00
|
|
|
|
**** Fix definitions in main file.v, referenced in library. [Stefan Thiede]
|
|
|
|
|
|
2008-03-20 01:40:22 +00:00
|
|
|
|
**** Fix undefined assigns to be implicit warnings. [Stefan Thiede]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-02-25 13:55:06 +00:00
|
|
|
|
* Verilator 3.658 2008/02/25
|
|
|
|
|
|
|
|
|
|
**** Fix unistd compile error in 3.657. [Patricio Kaplan, Jonathan Kimmitt]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-02-20 16:55:43 +00:00
|
|
|
|
* Verilator 3.657 2008/02/20
|
2008-01-31 14:49:27 +00:00
|
|
|
|
|
2008-02-20 16:54:41 +00:00
|
|
|
|
**** Fix assignments of {a,b,c} = {c,b,a}. [Jonathan Kimmitt]
|
|
|
|
|
|
2008-01-31 14:49:27 +00:00
|
|
|
|
**** Fix Perl warning with --lint-only. [by Ding Xiaoliang]
|
|
|
|
|
|
|
|
|
|
**** Avoid creating obj_dir with --lint-only. [Ding Xiaoliang]
|
|
|
|
|
|
2008-02-14 02:08:10 +00:00
|
|
|
|
**** Fix parsing of always @(*). [Patricio Kaplan]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-18 21:45:16 +00:00
|
|
|
|
* Verilator 3.656 2008/01/18
|
2007-11-30 22:38:21 +00:00
|
|
|
|
|
|
|
|
|
**** Wide VL_CONST_W_#X functions are now made automatically. [Bernard Deadman]
|
|
|
|
|
In such cases, a new {prefix}__Inlines.h file will be built and included.
|
|
|
|
|
|
2008-01-15 15:00:10 +00:00
|
|
|
|
**** Fixed sign error when extracting from signed memory. [Peter Debacker]
|
|
|
|
|
|
2008-01-15 18:36:47 +00:00
|
|
|
|
**** Fixed tracing of SystemC w/o SystemPerl. [Bernard Deadman, Johan Wouters]
|
2007-12-13 13:54:04 +00:00
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.655 2007/11/27
|
2007-10-30 22:58:47 +00:00
|
|
|
|
|
2007-10-31 20:29:07 +00:00
|
|
|
|
*** Support "#delay <statement>;" with associated STMTDLY warning.
|
|
|
|
|
|
2007-11-05 14:09:22 +00:00
|
|
|
|
**** Fixed generate for loops with constant zero conditions. [Rodney Sinclair]
|
|
|
|
|
|
|
|
|
|
**** Fixed divide-by-zero errors in constant propagator. [Rodney Sinclair]
|
2007-10-30 22:58:47 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed wrong result with obscure signed-shift underneath a "? :".
|
|
|
|
|
|
|
|
|
|
**** Fixed many internal memory leaks, and added leak detector.
|
2007-10-31 19:22:26 +00:00
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.654 2007/10/18
|
2007-08-23 13:21:58 +00:00
|
|
|
|
|
|
|
|
|
**** Don't exit early if many warnings but no errors are found. [Stan Mayer]
|
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed parsing module #(parameter x,y) declarations. [Oleg Rodionov]
|
2007-09-11 13:35:02 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed parsing system functions with empty parens. [Oleg Rodionov]
|
2007-09-17 17:54:02 +00:00
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.653 2007/8/1
|
2007-07-18 15:01:39 +00:00
|
|
|
|
|
|
|
|
|
**** Support SystemVerilog ==? and !=? operators.
|
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed SC_LIBS missing from generated makefiles. [Ding Xiaoliang]
|
2007-07-31 14:47:21 +00:00
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.652 2007/6/21
|
2007-06-12 13:58:56 +00:00
|
|
|
|
|
2007-06-12 19:39:10 +00:00
|
|
|
|
**** Report as many warning types as possible before exiting.
|
|
|
|
|
|
2007-06-12 13:58:56 +00:00
|
|
|
|
**** Support V2K portlists with "input a,b,...". [Mark Nodine]
|
|
|
|
|
|
2007-06-19 23:43:14 +00:00
|
|
|
|
**** Support V2K function/task argument lists.
|
|
|
|
|
|
2007-06-14 18:34:08 +00:00
|
|
|
|
**** Optimize constant $display arguments.
|
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed Preprocessor dropping some `line directives. [Mark Nodine]
|
2007-06-13 17:34:09 +00:00
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.651 2007/5/22
|
2007-04-24 17:03:52 +00:00
|
|
|
|
|
2007-05-18 18:48:22 +00:00
|
|
|
|
*** Added verilator_profcfunc utility. [Gene Weber]
|
|
|
|
|
|
2007-05-16 12:55:25 +00:00
|
|
|
|
*** Treat modules within `celldefine and `endcelldefine as if in library.
|
|
|
|
|
|
2007-05-16 18:19:23 +00:00
|
|
|
|
*** Support functions which return integers. [Mark Nodine]
|
|
|
|
|
|
2007-04-24 17:03:52 +00:00
|
|
|
|
**** Warn if flex is not installed. [Ralf Karge]
|
|
|
|
|
|
2007-05-18 14:03:50 +00:00
|
|
|
|
**** Ignore `protect and `endprotect.
|
|
|
|
|
|
|
|
|
|
**** Allow empty case/endcase blocks.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.650 2007/4/20
|
2007-04-19 18:20:16 +00:00
|
|
|
|
|
|
|
|
|
** Add --compiler msvc option. This is now required when Verilated code
|
2007-04-19 18:39:47 +00:00
|
|
|
|
is to be run through MSVC++. This also enables fixing MSVC++ error
|
|
|
|
|
C1061, blocks nested too deeply. [Ralf Karge]
|
2007-03-13 17:15:00 +00:00
|
|
|
|
|
2007-04-19 18:39:47 +00:00
|
|
|
|
** Add --lint-only option, to lint without creating other output.
|
2007-04-18 18:26:38 +00:00
|
|
|
|
|
2007-04-19 14:21:37 +00:00
|
|
|
|
*** Add /*verilator lint_save*/ and /*verilator lint_restore*/ to allow
|
2007-04-19 18:39:47 +00:00
|
|
|
|
friendly control over re-enabling lint messages. [Gerald Williams]
|
2007-04-19 14:21:37 +00:00
|
|
|
|
|
2007-03-14 13:06:08 +00:00
|
|
|
|
*** Support SystemVerilog .name and .* interconnect.
|
|
|
|
|
|
2007-03-13 18:21:23 +00:00
|
|
|
|
*** Support while and do-while loops.
|
|
|
|
|
|
2007-04-19 18:39:47 +00:00
|
|
|
|
*** Use $(LINK) instead of $(CXX) for Makefile link rules. [Gerald Williams]
|
|
|
|
|
|
|
|
|
|
*** Add USER_CPPFLAGS and USER_LDFLAGS to Makefiles. [Gerald Williams]
|
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed compile errors under Windows MINGW compiler. [Gerald Williams]
|
2007-04-18 17:58:59 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed dotted bit reference to local memory. [Eugene Weber]
|
2007-03-13 17:15:00 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed 3.640 `verilog forcing IEEE 1364-1995 only. [David Hewson]
|
2007-03-16 18:44:17 +00:00
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.640 2007/3/12
|
2007-02-27 14:30:22 +00:00
|
|
|
|
|
2007-03-05 21:35:49 +00:00
|
|
|
|
*** Support Verilog 2005 `begin_keywords and `end_keywords.
|
|
|
|
|
|
2008-01-15 15:00:10 +00:00
|
|
|
|
*** Updated list of SystemVerilog keywords to correspond to IEEE 1800-2005.
|
2007-03-05 20:29:05 +00:00
|
|
|
|
|
2007-03-02 22:24:51 +00:00
|
|
|
|
*** Add /*verilator public_flat*/. [Eugene Weber]
|
|
|
|
|
|
2007-02-27 20:00:29 +00:00
|
|
|
|
**** Try all +libext's in the exact order given. [Michael Shinkarovsky]
|
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed elimination of public signals assigned to constants. [Eugene Weber]
|
2007-03-02 21:14:22 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed internal error when public for loop has empty body. [David Addison]
|
2007-02-27 14:30:22 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed "Loops detected" assertion when model exceeds 4GB. [David Hewson]
|
2007-03-05 13:52:21 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed display %m names inside named blocks.
|
2007-03-06 18:53:24 +00:00
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.633 2007/2/7
|
2007-01-18 18:31:49 +00:00
|
|
|
|
|
|
|
|
|
*** Add --trace-depth option for minimizing VCD file size. [Emerson Suguimoto]
|
|
|
|
|
|
2007-02-27 14:30:22 +00:00
|
|
|
|
*** With VL_DEBUG, show wires causing convergence errors. [Mike Shinkarovsky]
|
2007-01-31 21:49:13 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed isolate_assignments when many signals per always. [Mike Shinkarovsky]
|
2007-01-23 18:11:26 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed isolate_assignments across task/func temporaries. [Mike Shinkarovsky]
|
2007-01-26 21:53:03 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed $display's with array select followed by wide AND. [David Hewson]
|
2007-01-31 15:44:36 +00:00
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.632 2007/1/17
|
2007-01-18 00:51:26 +00:00
|
|
|
|
|
2007-01-18 14:30:45 +00:00
|
|
|
|
*** Add /*verilator isolate_assignments*/ attribute. [Mike Shinkarovsky]
|
2007-01-18 00:51:26 +00:00
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.631 2007/1/2
|
2006-12-20 20:45:13 +00:00
|
|
|
|
|
2006-12-22 15:06:13 +00:00
|
|
|
|
** Support standard NAME[#] for cells created by arraying or generate for.
|
|
|
|
|
This replaces the non-standard name__# syntax used in earlier versions.
|
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed again dotted references into generate cells. [David Hewson]
|
2006-12-20 20:45:13 +00:00
|
|
|
|
Verilator no longer accepts duplicated variables inside unique
|
|
|
|
|
generate blocks as this is illegal according to the specification.
|
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed $readmem* with filenames < 8 characters. [Emerson Suguimoto]
|
2006-12-21 15:28:32 +00:00
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.630 2006/12/19
|
2006-12-12 18:25:33 +00:00
|
|
|
|
|
2006-12-19 14:09:57 +00:00
|
|
|
|
** Support $readmemb and $readmemh. [Eugene Weber, Arthur Kahlich]
|
|
|
|
|
|
2006-12-18 19:20:45 +00:00
|
|
|
|
*** Fixed configure and compiling under Solaris. [Bob Farrell]
|
|
|
|
|
|
2006-12-15 22:28:18 +00:00
|
|
|
|
*** When dotted signal lookup fails, help the user by showing known scopes.
|
|
|
|
|
|
2006-12-19 16:26:49 +00:00
|
|
|
|
*** Reduce depth of priority encoded case statements. [Eugene Weber]
|
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed dotted references inside generated cells. [David Hewson]
|
2006-12-12 18:25:33 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed missed split optimization points underneath other re-split blocks.
|
2006-12-18 18:20:13 +00:00
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.623 2006/12/05
|
2006-10-25 21:41:32 +00:00
|
|
|
|
|
2006-10-26 01:20:49 +00:00
|
|
|
|
*** Add --output-split-cfuncs for accelerating GCC compile. [Eugene Weber]
|
2006-10-26 01:08:44 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed $signed mis-extending when input has a WIDTH violation. [Eugene Weber]
|
2006-10-25 21:41:32 +00:00
|
|
|
|
|
2006-11-02 19:13:07 +00:00
|
|
|
|
**** Add M32 make variable to support -m32 compiles. [Eugene Weber]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.622 2006/10/17 Stable
|
2006-10-12 14:01:06 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed --skip-identical without --debug, broken in 3.621. [Andy Meier]
|
2006-10-12 14:01:06 +00:00
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.621 2006/10/11 Beta
|
2006-10-04 15:46:13 +00:00
|
|
|
|
|
2006-10-11 15:41:42 +00:00
|
|
|
|
** Add /*verilator no_inline_task*/ to prevent over-expansion. [Eugene Weber]
|
|
|
|
|
|
2006-10-11 15:34:50 +00:00
|
|
|
|
*** Public functions now allow > 64 bit arguments.
|
2006-10-09 13:38:15 +00:00
|
|
|
|
|
2006-10-11 15:34:50 +00:00
|
|
|
|
**** Remove .vpp intermediate files when not under --debug.
|
2006-10-05 14:53:17 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed link error when using --exe with --trace. [Eugene Weber]
|
2006-10-04 15:46:13 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed mis-optimization of wide concats with constants.
|
2006-10-11 15:34:50 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed core dump on printing error when not under --debug. [Allan Cochrane]
|
2006-10-04 18:46:42 +00:00
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.620 2006/10/04 Stable
|
2006-09-25 15:58:17 +00:00
|
|
|
|
|
2006-09-25 20:40:52 +00:00
|
|
|
|
*** Support simple inout task ports. [Eugene Weber]
|
|
|
|
|
|
2006-09-25 15:58:17 +00:00
|
|
|
|
*** Allow overriding Perl, Flex and Bison versions. [by Robert Farrell]
|
|
|
|
|
|
2006-09-27 18:00:53 +00:00
|
|
|
|
*** Optimize variables set to constants within basic blocks for ~3%.
|
2006-09-26 15:05:35 +00:00
|
|
|
|
|
2006-09-28 14:37:28 +00:00
|
|
|
|
**** Default make no longer makes the docs; if you edit the documentation.
|
2006-09-25 15:58:17 +00:00
|
|
|
|
sources, run "make info" to get them.
|
|
|
|
|
|
2006-09-27 18:00:53 +00:00
|
|
|
|
**** Optimize additional boolean identities (a|a = a, etc.)
|
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed coredump when dotted cross-ref inside task call. [Eugene Weber]
|
2006-09-30 00:08:09 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed dotted variables in always sensitivity lists. [Allan Cochrane]
|
2006-10-03 13:02:21 +00:00
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.610 2006/09/20 Stable
|
2006-09-12 00:55:27 +00:00
|
|
|
|
|
2006-09-13 13:18:24 +00:00
|
|
|
|
*** Verilator now works under DJGPP (Pentium GCC). [John Stroebel]
|
|
|
|
|
|
2006-09-12 00:55:27 +00:00
|
|
|
|
**** Add default define for VL_PRINTF. [John Stroebel]
|
|
|
|
|
|
|
|
|
|
**** Removed coverage request variable; see Coverage limitations in docs.
|
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed DOS carriage returns in multiline defines. [Ralf Karge]
|
2006-09-13 14:38:48 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed printf format warnings on 64-bit linux.
|
2006-09-20 13:24:18 +00:00
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.602 2006/09/11 Stable
|
2006-09-08 16:16:30 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed function references under top inlined module. [David Hewson]
|
2006-09-08 16:16:30 +00:00
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.601 2006/09/06 Beta
|
2006-08-29 00:27:04 +00:00
|
|
|
|
|
|
|
|
|
*** Added --inhibit-sim flag for environments using old __Vm_inhibitSim.
|
|
|
|
|
|
2007-02-27 14:30:22 +00:00
|
|
|
|
*** Added `systemc_dtor for destructor extensions. [Allan Cochrane]
|
2006-08-30 17:27:53 +00:00
|
|
|
|
|
2006-08-31 15:29:15 +00:00
|
|
|
|
*** Added -MP to make phony dependencies, ala GCC's.
|
|
|
|
|
|
2006-09-01 14:05:20 +00:00
|
|
|
|
*** Changed how internal functions are invoked to reduce aliasing.
|
|
|
|
|
Useful when using GCC's -O2 or -fstrict-aliasing, to gain another ~4%.
|
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed memory leak when destroying modules. [John Stroebel]
|
2006-09-01 15:31:07 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed coredump when unused modules have unused cells. [David Hewson]
|
2006-08-30 19:50:24 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed 3.600 internal error with arrayed instances. [David Hewson]
|
2006-08-29 00:58:48 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed 3.600 internal error with non-unrolled function loops. [David Hewson]
|
2006-09-05 20:06:23 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed $display %m name not matching Verilog name inside SystemC modules.
|
2006-08-30 01:14:29 +00:00
|
|
|
|
|
2006-09-01 14:05:20 +00:00
|
|
|
|
**** Declare optimized lookup tables as 'static', to reduce D-Cache miss rate.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.600 2006/08/28 Beta
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
** Support dotted cross-hierarchy variable and task references.
|
|
|
|
|
|
|
|
|
|
**** Lint for x's in generate case statements.
|
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed line numbers being off by one when first file starts with newline.
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed naming of generate for blocks to prevent non-inline name conflict.
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed redundant statements remaining after table optimization.
|
2006-08-27 15:07:59 +00:00
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.542 2006/08/11 Stable
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed extraneous UNSIGNED warning when comparing genvars. [David Hewson]
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed extra white space in $display %c. [by David Addison]
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
**** vl_finish and vl_fatal now print via VL_PRINTF rather then cerr/cout.
|
|
|
|
|
|
|
|
|
|
**** Add VL_CONST_W_24X macro. [Bernard Deadman]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.541 2006/07/05 Beta
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
*** Fixed "// verilator lint_on" not re-enabling warnings. [David Hewson]
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
*** Fixed 3.540's multiple memory assignments to same block. [David Hewson]
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
**** Add warning on changeDetect to arrayed structures. [David Hewson]
|
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed non-zero start number for arrayed instantiations. [Jae Hossell]
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed GCC 4.0 header file warnings.
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.540 2006/06/27 Beta
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
**** Optimize combo assignments that are used only once, ~5-25% faster.
|
|
|
|
|
|
|
|
|
|
**** Optimize delayed assignments to memories inside loops, ~0-5% faster.
|
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed mis-width warning on bit selects of memories. [David Hewson]
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed mis-width warning on dead generate-if branches. [Jae Hossell]
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.533 2006/06/05 Stable
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
*** Add PDF user manual, verilator.pdf.
|
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed delayed bit-selected arrayed assignments. [David Hewson]
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed execution path to Perl. [Shanshan Xu]
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed Bison compile errors in verilog.y. [by Ben Jackson]
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.531 2006/05/10 Stable
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
*** Support $c routines which return 64 bit values.
|
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed `include `DEFINE.
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed Verilator core dump when have empty public function. [David.Hewson]
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.530 2006/04/24 Stable
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
** $time is now 64 bits. The macro VL_TIME_I is now VL_TIME_Q, but calls
|
|
|
|
|
the same sc_time_stamp() function to get the current time.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.523 2006/03/06 Stable
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed error line numbers being off due to multi-line defines. [Mat Zeno]
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed GCC sign extending (uint64_t)(a<b). [David Hewson]
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed `systemc_imp_header "undefined macro" error.
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.522 2006/02/23 Beta
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
**** Add UNUSED error message, for forward compatibility.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.521 2006/02/14 Beta
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
*** Create new --coverage-line and --coverage-user options. [Peter Holmes]
|
|
|
|
|
|
|
|
|
|
**** Added SystemVerilog 'x,'z,'0,'1, and new string literals.
|
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed public module's parent still getting inlined.
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.520 2006/01/14 Stable
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
** Added support for $fopen, $fclose, $fwrite, $fdisplay.
|
|
|
|
|
See documentation, as the file descriptors differ from the standard.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.510 2005/12/17 Stable
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
** Improve trace-on performance on large multi-clock designs by 2x or more.
|
|
|
|
|
This adds a small ~2% performance penalty if traces are compiled in,
|
|
|
|
|
but not turned on. For best non-tracing performance, do not use --trace.
|
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed $'s in specify delays causing bad PLI errors. [Mat Zeno]
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed public functions not setting up proper symbol table. [Mat Zeno]
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed genvars generating trace compile errors. [Mat Zeno]
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed VL_MULS_WWW compile error with MSVC++. [Wim Michiels]
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.502 2005/11/30 Stable
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed local non-IO variables in public functions and tasks.
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed bad lifetime optimization when same signal is assigned multiple
|
2006-08-26 11:35:28 +00:00
|
|
|
|
times in both branch of a if. [Danny Ding]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.501 2005/11/16 Stable
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2018-05-20 13:12:29 +00:00
|
|
|
|
*** Add --prof-cfuncs for correlating profiles back to Verilog.
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed functions where regs are declared before inputs. [Danny Ding]
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed bad deep expressions with bit-selects and rotate. [Prabhat Gupta]
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.500 2005/10/30 Stable
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
** Support signed numbers, >>>, $signed, $unsigned. [MANY!]
|
|
|
|
|
|
|
|
|
|
** Support multi-dimensional arrays. [Eugen Fekete]
|
|
|
|
|
|
|
|
|
|
** Add very limited support for the Property Specification Language
|
|
|
|
|
(aka PSL or Sugar). The format and keywords are now very limited, but will
|
|
|
|
|
grow with future releases. The --assert switch enables this feature.
|
|
|
|
|
|
|
|
|
|
** With --assert, generate assertions for synthesis parallel_case and full_case.
|
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed generate if's with empty if/else blocks. [Mat Zeno]
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed generate for cell instantiations with same name. [Mat Zeno]
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.481 2005/10/12 Stable
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
*** Add /*verilator tracing_on/off*/ for waveform control.
|
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed split optimization reordering $display statements.
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.480 2005/9/27 Beta
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
** Allow coverage of flattened modules, and multiple points per line.
|
|
|
|
|
Coverage analysis requires SystemPerl 1.230 or newer.
|
|
|
|
|
|
|
|
|
|
**** Add preprocessor changes to support meta-comments.
|
|
|
|
|
|
|
|
|
|
**** Optimize sequential assignments of different bits of same bus; ~5% faster.
|
|
|
|
|
|
|
|
|
|
**** Optimize away duplicate lookup tables.
|
|
|
|
|
|
|
|
|
|
**** Optimize wide concatenates into individual words. [Ralf Karge]
|
|
|
|
|
|
|
|
|
|
**** Optimize local variables from delayed array assignments.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.470 2005/9/6 Stable
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
*** Optimize staging flops under reset blocks.
|
|
|
|
|
|
|
|
|
|
*** Add '-Werror-...' to upgrade specific warnings to errors.
|
|
|
|
|
|
|
|
|
|
**** Add GCC branch prediction hints on generated if statements.
|
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed bad simulation when same function called twice in same expression.
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed preprocessor substitution of quoted parameterized defines.
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.464 2005/8/24 Stable
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
*** Add `systemc_imp_header, for use when using --output-split.
|
|
|
|
|
|
|
|
|
|
*** Add --stats option to dump design statistics.
|
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed core dump with clock inversion optimizations.
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.463 2005/8/5 Stable
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
*** Fixed case defaults when not last statement in case list. [Wim Michiels]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.462 2005/8/3 Stable
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
*** Fixed reordering of delayed assignments to same memory index. [Wim Michiels]
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed compile error with Flex 2.5.1. [Jens Arm]
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed multiply-instantiated public tasks generating non-compilable code.
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.461 2005/7/28 Beta
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed compile error with older versions of bison. [Jeff Dutton]
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.460 2005/7/27 Beta
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
** Add -output-split option to enable faster parallel GCC compiles.
|
|
|
|
|
To support --output-split, the makefiles now split VM_CLASSES
|
|
|
|
|
into VM_CLASSES_FAST and VM_CLASSES_SLOW. This may require a
|
|
|
|
|
change to local makefiles.
|
|
|
|
|
|
|
|
|
|
** Support -v argument to read library files.
|
|
|
|
|
|
|
|
|
|
*** When issuing unoptimizable warning, show an example path.
|
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed false warning when a clock is constant.
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed X/Z in decimal numbers. [Wim Michiels]
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed genvar statements in non-named generate blocks.
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed core dump when missing newline in `define. [David van der bokke]
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
**** Internal tree dumps now indicate edit number that changed the node.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.450 2005/7/12
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
** $finish will no longer exit, but set Verilated::gotFinish().
|
|
|
|
|
This enables support for final statements, and for other cleanup code.
|
|
|
|
|
If this is undesired, redefine the vl_user_finish routine. Top level
|
|
|
|
|
loops should use Verilated::gotFinish() as a exit condition for their
|
|
|
|
|
loop, and then call top->final(). To prevent a infinite loop, a
|
|
|
|
|
double $finish will still exit; this may be removed in future
|
|
|
|
|
releases.
|
|
|
|
|
|
|
|
|
|
*** Add support for SystemVerilog keywords $bits, $countones, $isunknown,
|
|
|
|
|
$onehot, $onehot0, always_comb, always_ff, always_latch, finish.
|
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed "=== 1'bx" to always be false, instead of random.
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.440 2005/6/28 Stable
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
** Add Verilog 2001 generate for/if/case statements.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.431 2005/6/24 Stable
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
*** Fixed selection bugs introduced in 3.430 beta.
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.430 2005/6/22 Beta
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
** Add Verilog 2001 variable part selects [n+:m] and [n-:m]. [Wim Michiels]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.422 2005/6/10 Stable
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
*** Added Verilog 2001 power (**) operator. [Danny Ding]
|
|
|
|
|
|
|
|
|
|
**** Fixed crash and added error message when assigning to inputs. [Ralf Karge]
|
|
|
|
|
|
|
|
|
|
**** Fixed tracing of modules with public functions.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.421 2005/6/2 Beta
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
**** Fixed error about reserved word on non-public signals.
|
|
|
|
|
|
|
|
|
|
**** Fixed missing initialization compile errors in 3.420 beta. [Ralf Karge]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.420 2005/6/2 Beta
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
*** Fixed case defaults when not last statement in case list. [Ralf Karge]
|
|
|
|
|
|
|
|
|
|
**** Added error message when multiple defaults in case statement.
|
|
|
|
|
|
|
|
|
|
**** Fixed crash when wire self-assigns x=x.
|
|
|
|
|
|
|
|
|
|
** Performance improvements worth ~20%
|
|
|
|
|
|
|
|
|
|
** Added -x-assign options; ~5% faster if use -x-assign=0.
|
|
|
|
|
|
|
|
|
|
**** Optimize shifts out of conditionals and if statements.
|
|
|
|
|
|
|
|
|
|
**** Optimize local 'short' wires.
|
|
|
|
|
|
|
|
|
|
**** Fixed gate optimization with top-flattened modules. [Mahesh Kumashikar]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.411 2005/5/30 Stable
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
**** Fixed compile error in GCC 2.96. [Jeff Dutton]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.410 2005/5/25 Beta
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
** Allow functions and tasks to be declared public.
|
|
|
|
|
They will become public C++ functions, with appropriate C++ types.
|
|
|
|
|
This allows users to make public accessor functions/tasks, instead
|
|
|
|
|
of having to use public variables and `systemc_header hacks.
|
|
|
|
|
|
|
|
|
|
*** Skip producing output files if all inputs are identical
|
|
|
|
|
This uses timestamps, similar to make. Disable with --no-skip-identical.
|
|
|
|
|
|
|
|
|
|
**** Improved compile performance with large case statements.
|
|
|
|
|
|
|
|
|
|
**** Fixed internal error in V3Table. [Jeff Dutton]
|
|
|
|
|
|
|
|
|
|
**** Fixed compile error in GCC 2.96, and with SystemC 1.2. [Jeff Dutton]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.400 2005/4/29 Beta
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
** Internal changes to support future clocking features.
|
|
|
|
|
|
|
|
|
|
** Verilog-Perl and SystemPerl are no longer required for C++ or SystemC
|
|
|
|
|
output. If you want tracing or coverage analysis, they are still needed.
|
|
|
|
|
|
|
|
|
|
*** Added --sc to create pure SystemC output not requiring SystemPerl.
|
|
|
|
|
|
|
|
|
|
*** Added --pins64 to create 64 bit SystemC outputs instead of sc_bv<64>.
|
|
|
|
|
|
|
|
|
|
*** The --exe flag is now required to produce executables inside the makefile.
|
|
|
|
|
This was previously the case any time .cpp files were passed on the
|
|
|
|
|
command line.
|
|
|
|
|
|
|
|
|
|
*** Added -O3 and --inline-mult for performance tuning. [Ralf Karge]
|
|
|
|
|
One experiment regained 5% performance, at a cost of 300% in compile time.
|
|
|
|
|
|
|
|
|
|
*** Improved performance of large case/always statements with low fanin
|
|
|
|
|
by converting to internal lookup tables (ROMs).
|
|
|
|
|
|
|
|
|
|
*** Initialize SystemC port names. [S Shuba]
|
|
|
|
|
|
|
|
|
|
**** Added Doxygen comments to Verilated includes.
|
|
|
|
|
|
|
|
|
|
**** Fixed -cc pins 8 bits wide and less to be uint8_t instead of uint16_t.
|
|
|
|
|
|
|
|
|
|
**** Fixed crash when Mdir has same name as .v file. [Gernot Koch]
|
|
|
|
|
|
|
|
|
|
**** Fixed crash with size mismatches on case items. [Gernot Koch]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.340 2005/2/18 Stable
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
*** Report misconnected pins across all modules, instead of just first error.
|
|
|
|
|
|
|
|
|
|
**** Fixed over-active inlining, resulting in compile slowness.
|
|
|
|
|
|
|
|
|
|
**** Improved large netlist compile times.
|
|
|
|
|
|
|
|
|
|
**** Added additional internal assertions.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.332 2005/1/27
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
*** Added -E preprocess only flag, similar to GCC.
|
|
|
|
|
|
|
|
|
|
*** Added CMPCONSTLR when comparison is constant due to > or < with all ones.
|
|
|
|
|
|
|
|
|
|
**** Fixed loss of first -f file argument, introduced in 3.331.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.331 2005/1/18
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
** The Verilog::Perl preprocessor is now C++ code inside of Verilator.
|
|
|
|
|
This improves performance, makes compilation easier, and enables
|
|
|
|
|
some future features.
|
|
|
|
|
|
|
|
|
|
*** Support arrays of instantiations (non-primitives only). [Wim Michiels]
|
|
|
|
|
|
|
|
|
|
**** Fixed unlinked error with defparam. [Shawn Wang]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.320 2004/12/10
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
** NEWS is now renamed Changes, to support CPAN indexing.
|
|
|
|
|
|
|
|
|
|
*** If Verilator is passed a C file, create a makefile link rule.
|
|
|
|
|
This saves several user steps when compiling small projects.
|
|
|
|
|
|
|
|
|
|
*** Added new COMBDLY warning in place of fatal error. [Shawn Wang]
|
|
|
|
|
|
|
|
|
|
*** Fixed mis-simulation with wide-arrays under bit selects. [Ralf Karge]
|
|
|
|
|
|
|
|
|
|
**** Added NC Verilog as alternative to VCS for reference tests.
|
|
|
|
|
|
|
|
|
|
**** Support implicit wire declarations on input-only signals.
|
2006-10-11 15:34:50 +00:00
|
|
|
|
(Dangerous, as leads to wires without drivers, but allowed by spec.)
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
**** Fixed compile warnings on Suse 9.1
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.311 2004/11/29
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
** Support implicit wire declarations (as a warning). [Shawn Wang]
|
|
|
|
|
|
|
|
|
|
**** Fixed over-shift difference in Verilog vs C++. [Ralf Karge]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.310 2004/11/15
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
** Support defparam.
|
|
|
|
|
|
|
|
|
|
** Support gate primitives: buf, not, and, nand, or, nor, xor, xnor.
|
|
|
|
|
|
|
|
|
|
*** Ignore all specify blocks.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.302 2004/11/12
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
*** Support NAND and NOR operators.
|
|
|
|
|
|
|
|
|
|
*** Better warnings when port widths don't match.
|
|
|
|
|
|
|
|
|
|
**** Fixed internal error due to some port width mismatches. [Ralf Karge]
|
|
|
|
|
|
|
|
|
|
**** Fixed WIDTH warnings on modules that are only used
|
|
|
|
|
parameterized, not in 'default' state.
|
|
|
|
|
|
|
|
|
|
**** Fixed selection of SystemC library on cygwin systems. [Shawn Wang]
|
|
|
|
|
|
|
|
|
|
**** Fixed runtime bit-selection of parameter constants.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.301 2004/11/04
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
**** Fixed 64 bit [31:0] = {#{}} mis-simulation. [Ralf Karge]
|
|
|
|
|
|
|
|
|
|
**** Fixed shifts greater then word width mis-simulation. [Ralf Karge]
|
|
|
|
|
|
|
|
|
|
**** Work around GCC 2.96 negation bug.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.300 2004/10/21
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
** New backend that eliminates most VL_ macros.
|
|
|
|
|
Improves performance 20%-50%, depending on frequency of use of signals
|
|
|
|
|
over 64 bits. GCC compile times with -O2 shrink by a factor of 10.
|
|
|
|
|
|
|
|
|
|
**** Fixed "setting unsigned int from signed value" warning.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.271 2004/10/21
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
**** Fixed "loops detected" error with some negedge clocks.
|
|
|
|
|
|
|
|
|
|
**** Cleaned up some output code spacing issues.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.270 2004/10/15
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
*** Support Verilog 2001 parameters in module headers. [Ralf Karge]
|
|
|
|
|
|
|
|
|
|
**** Suppress numeric fault when dividing by zero.
|
|
|
|
|
|
|
|
|
|
**** Faster code to support compilers not inlining all Verilated functions.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.260 2004/10/7
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
** Support Verilog 2001 named parameter instantiation. [Ralf Karge]
|
|
|
|
|
|
|
|
|
|
**** Return 1's when one bit wide extract indexes outside array bounds.
|
|
|
|
|
|
|
|
|
|
**** Fixed compile warnings on 64-bit operating systems.
|
|
|
|
|
|
|
|
|
|
**** Fixed incorrect dependency in .d file when setting VERILATOR_BIN.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.251 2004/9/9
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
**** Fixed parenthesis overflow in Microsoft Visual C++ [Renga Sundararajan]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.250 2004/8/30
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
** Support Microsoft Visual C++ [Renga Sundararajan]
|
|
|
|
|
|
|
|
|
|
*** SystemPerl 1.161+ is required.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.241 2004/8/17
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
** Support ,'s to separate multiple assignments. [Paul Nitza]
|
|
|
|
|
|
|
|
|
|
**** Fixed shift sign extension problem using non-GCC compilers.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.240 2004/8/13
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
** Verilator now uses 64 bit math where appropriate.
|
|
|
|
|
Inputs and outputs of 33-64 bits wide to the C++ Verilated model must
|
|
|
|
|
now be uint64_t's; SystemC has not changed, they will remain sc_bv's.
|
|
|
|
|
This increases performance by ~ 9% on x86 machines, varying with how
|
|
|
|
|
frequently 33-64 bit signals occur. Signals 9-16 bits wide are now
|
|
|
|
|
stored as 16 bit shorts instead of longs, this aids cache packing.
|
|
|
|
|
|
|
|
|
|
**** Fixed SystemC compile error with feedthrus. [Paul Nitza]
|
|
|
|
|
|
|
|
|
|
**** Fixed concat value error introduced in 3.230.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.230 2004/8/10
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
*** Added coverage output to test_sp example, SystemPerl 1.160+ is required.
|
|
|
|
|
|
|
|
|
|
**** Fixed time 0 value of signals. [Hans Van Antwerpen]
|
|
|
|
|
Earlier versions would not evaluate some combinatorial signals
|
|
|
|
|
until posedge/negedge blocks had been activated.
|
|
|
|
|
|
|
|
|
|
**** Fixed wide constant inputs to public submodules [Hans Van Antwerpen]
|
|
|
|
|
|
|
|
|
|
**** Fixed wide signal width extension bug.
|
|
|
|
|
Only applies when width mismatch warnings were overridden.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.220 2004/6/22
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
** Many waveform tracing changes:
|
|
|
|
|
|
|
|
|
|
*** Tracing is now supported on C++ standalone simulations. [John Brownlee]
|
|
|
|
|
|
|
|
|
|
*** When tracing, SystemPerl 1.150 or newer is required.
|
|
|
|
|
|
|
|
|
|
*** When tracing, Verilator must be called with the --trace switch.
|
|
|
|
|
|
|
|
|
|
**** Added SystemPerl example to documentation. [John Brownlee]
|
|
|
|
|
|
|
|
|
|
**** Various Cygwin compilation fixes. [John Brownlee]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.210 2004/4/1
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
** Compiler optimization switches have changed
|
|
|
|
|
See the BENCHMARKING section of the documentation.
|
|
|
|
|
|
|
|
|
|
*** With Verilog-Perl 2.3 or newer, Verilator supports SystemVerilog
|
|
|
|
|
preprocessor extensions.
|
|
|
|
|
|
|
|
|
|
*** Added localparam. [Thomas Hawkins]
|
|
|
|
|
|
|
|
|
|
*** Added warnings for SystemVerilog reserved words.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.203 2004/3/10
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
*** Notes and repairs for Solaris. [Fred Ma]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.202 2004/1/27
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
** The beta version is now the primary release. See below for many changes.
|
|
|
|
|
If you have many problems, you may wish to try release 3.125.
|
|
|
|
|
|
|
|
|
|
*** Verilated::traceEverOn(true) must be called at time 0 if you will ever
|
|
|
|
|
turn on tracing (waveform dumping) of signals. Future versions will
|
|
|
|
|
need this switch to disable trace incompatible optimizations.
|
|
|
|
|
|
|
|
|
|
**** Fixed several tracing bugs
|
|
|
|
|
|
|
|
|
|
**** Added optimizations for common replication operations.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.201-beta 2003/12/10
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
** BETA VERSION, USE 3.124 for stable release!
|
|
|
|
|
|
|
|
|
|
** Version 3.2XX includes a all new back-end.
|
|
|
|
|
This includes automatic inlining, flattening of signals between
|
|
|
|
|
hierarchy, and complete ordering of statements. This results in
|
|
|
|
|
60-300% execution speedups, though less pretty C++ output. Even
|
|
|
|
|
better results are possible using GCC 3.2.2 (part of Redhat 9.1), as
|
|
|
|
|
GCC has fixed some optimization problems which Verilator exposes.
|
|
|
|
|
|
|
|
|
|
If you are using `systemc_ctor, beware pointers to submodules are now
|
|
|
|
|
initialized after the constructor is called for a module, to avoid
|
|
|
|
|
segfaults, move statements that reference subcells into initial
|
|
|
|
|
statements.
|
|
|
|
|
|
|
|
|
|
*** C++ Constructor that creates a verilog module may take a char* name.
|
|
|
|
|
This name will be used to prefix any $display %m arguments, so users may
|
|
|
|
|
distinguish between multiple Verilated modules in a single executable.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.125 2004/1/27
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
**** Optimization of bit replications
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.124 2003/12/05
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
*** A optimized executable will be made by default, in addition to a debug
|
|
|
|
|
executable. Invoking Verilator with --debug will pick the debug version.
|
|
|
|
|
|
|
|
|
|
**** Many minor invisible changes to support the next version.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.123 2003/11/10
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
**** Wide bus performance enhancements.
|
|
|
|
|
|
|
|
|
|
**** Fixed function call bug when width warning suppressed. [Leon Wildman]
|
|
|
|
|
|
|
|
|
|
**** Fixed __DOT__ compile problem with funcs in last revision. [Leon Wildman]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.122 2003/10/29
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
*** Modules which are accessed from external code now must be marked with
|
|
|
|
|
/*verilator public_module*/ unless they already contain public signals.
|
|
|
|
|
To enforce this, private cell names now have a string prepended.
|
|
|
|
|
|
|
|
|
|
**** Fixed replicated function calls in one statement. [Robert A. Clark]
|
|
|
|
|
|
|
|
|
|
**** Fixed function call bug when width warning suppressed. [Leon Wildman]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.121 2003/09/29
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
*** Support multiplication over 32 bits. [Chris Boumenot]
|
|
|
|
|
Also improved speed of addition and subtraction over 32 bits.
|
|
|
|
|
|
|
|
|
|
*** Detect bit selection out of range errors.
|
|
|
|
|
|
|
|
|
|
*** Detect integer width errors.
|
|
|
|
|
|
|
|
|
|
**** Fixed width problems on function arguments. [Robert A. Clark]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.120 2003/09/24
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
*** $finish now exits the model (via vl_finish function).
|
|
|
|
|
|
|
|
|
|
*** Support inputs/outputs in tasks.
|
|
|
|
|
|
|
|
|
|
*** Support V2K "integer int = {INITIAL_VALUE};"
|
|
|
|
|
|
|
|
|
|
*** Ignore floating point delay values. [Robert A. Clark]
|
|
|
|
|
|
|
|
|
|
**** Ignore `celldefine, `endcelldefine, etc. [Robert A. Clark]
|
|
|
|
|
|
|
|
|
|
**** New optimizations on reduction operators.
|
|
|
|
|
|
|
|
|
|
**** Fixed converting "\ooo" into octal values.
|
|
|
|
|
|
|
|
|
|
**** Fixed $display("%x");
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.112 2003/09/16
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
**** Fixed functions in continuous assignments. [Robert A. Clark]
|
|
|
|
|
|
|
|
|
|
**** Fixed inlining of modules with 2-level deep outputs.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.111 2003/09/15
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
**** Fixed declaration of functions before using that module. [Robert A. Clark]
|
|
|
|
|
|
|
|
|
|
**** Fixed module inlining bug with outputs.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.110 2003/09/12
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
** Support Verilog 2001 style input/output declarations. [Robert A. Clark]
|
|
|
|
|
|
|
|
|
|
*** Allow local vars in headers of function/tasks. [Leon Wildman]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.109 2003/08/28
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
** Added support for local variables in named begin blocks. [Leon Wildman]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.108 2003/08/11
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
** Added support for functions.
|
|
|
|
|
|
|
|
|
|
*** Signals 8 bits and shorter are now stored as chars
|
|
|
|
|
instead of uint32_t's. This improves Dcache packing and
|
|
|
|
|
improves performance by ~7%.
|
|
|
|
|
|
|
|
|
|
**** $display now usually results in a single VL_PRINT rather then many.
|
|
|
|
|
|
|
|
|
|
**** Many optimizations involving conditionals (?:)
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.107 2003/07/15
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
*** --private and --l2name are now the default,
|
|
|
|
|
as this enables additional optimizations.
|
|
|
|
|
Use --noprivate or --nol2name to get the older behavior.
|
|
|
|
|
|
|
|
|
|
*** Now support $display of binary and wide format data.
|
|
|
|
|
|
|
|
|
|
*** Added detection of incomplete case statements,
|
|
|
|
|
and added related optimizations worth ~4%.
|
|
|
|
|
|
|
|
|
|
**** Work around flex bug in Redhat 8.0. [Eugene Weber]
|
|
|
|
|
|
|
|
|
|
**** Added some additional C++ reserved words.
|
|
|
|
|
|
|
|
|
|
**** Additional constant optimizations, ~5% speed improvement.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.106 2003/06/17
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
** $c can now take multiple expressions as arguments.
|
|
|
|
|
For example $c("foo","bar(",32+1,");") will insert "foobar(33);"
|
|
|
|
|
This makes it easier to pass the values of signals.
|
|
|
|
|
|
|
|
|
|
** Several changes to support future versions that may have
|
|
|
|
|
signal-eliminating optimizations. Users should try to use these switch
|
|
|
|
|
on designs, they will become the default in later versions.
|
|
|
|
|
|
|
|
|
|
*** Added --private switch and /*verilator public*/ metacomment.
|
|
|
|
|
This renames all signals so that compile errors will result if any
|
|
|
|
|
signals referenced by C++ code are missing a /*verilator public*/
|
|
|
|
|
metacomment.
|
|
|
|
|
|
|
|
|
|
*** With --l2name, the second level cell C++ cell is now named "v".
|
|
|
|
|
Previously it was named based on the name of the verilog code. This
|
|
|
|
|
means to get to signals, scope to "{topcell} ->v ->{mysignal}" instead
|
|
|
|
|
of "{topcell} ->{verilogmod}. {mysignal}". This allows different
|
|
|
|
|
modules to be substituted for the cell without requiring source
|
|
|
|
|
changes.
|
|
|
|
|
|
|
|
|
|
**** Several cleanups for Redhat 8.0.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.105 2003/05/08
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
**** Fixed more GCC 3.2 errors. [David Black]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.104 2003/04/30
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
*** Indicate direction of ports with VL_IN and VL_OUT.
|
|
|
|
|
|
|
|
|
|
*** Allow $c32, etc, to specify width of the $c statement for VCS.
|
|
|
|
|
|
|
|
|
|
**** Fixed false "indent underflow" error inside `systemc_ctor sections.
|
|
|
|
|
|
|
|
|
|
**** Fixed missing ordering optimizations when outputs also used internally.
|
|
|
|
|
|
|
|
|
|
*** Numerous performance improvements, worth about 25%
|
|
|
|
|
|
|
|
|
|
**** Assign constant cell pins in initial blocks rather then every cycle.
|
|
|
|
|
|
|
|
|
|
**** Promote subcell's combo logic to sequential evaluation when possible.
|
|
|
|
|
|
|
|
|
|
**** Fixed GCC 3.2 compile errors. [Narayan Bhagavatula]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.103 2003/01/28
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
**** Fixed missing model evaluation when clock generated several levels of
|
|
|
|
|
hierarchy across from where it is used as a clock. [Richard Myers]
|
|
|
|
|
|
|
|
|
|
**** Fixed sign-extension bug introduced in 3.102.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.102 2003/01/24
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
**** Fixed sign-extension of X/Z's ("32'hx")
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.101 2003/01/13
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
**** Fixed 'parameter FOO=#'bXXXX' [Richard Myers]
|
|
|
|
|
|
|
|
|
|
**** Allow spaces inside numbers ("32'h 1234") [Sam Gladstone]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.100 2002/12/23
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
** Support for simple tasks w/o vars or I/O. [Richard Myers]
|
|
|
|
|
|
|
|
|
|
**** Ignore DOS carriage returns in Linux files. [Richard Myers]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.012 2002/12/18
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
**** Fixed parsing bug with casex statements containing case items
|
|
|
|
|
with bit extracts of parameters. [Richard Myers]
|
|
|
|
|
|
|
|
|
|
**** Fixed bug which could cause writes of non-power-of-2 sized arrays to
|
|
|
|
|
corrupt memory beyond the size of the array. [Dan Lussier]
|
|
|
|
|
|
|
|
|
|
**** Fixed bug which did not detect UNOPT problems caused by
|
|
|
|
|
submodules. See the description in the verilator man page. [John Deroo]
|
|
|
|
|
|
|
|
|
|
**** Fixed compile with threaded Perl. [Ami Keren]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.010 2002/11/3
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
*** Support SystemC 2.0.1. SystemPerl version 1.130 or newer is required.
|
|
|
|
|
|
|
|
|
|
**** Fixed bug with inlined modules under other inlined modules. [Scott
|
|
|
|
|
Bleiweiss]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.005 2002/10/21
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
**** Fixed X's in case (not casex/z) to constant propagate correctly.
|
|
|
|
|
|
|
|
|
|
**** Fixed missing include. [Kurachi]
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.004 2002/10/10
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
*** Added /* verilator module_inline */ and associated optimizations.
|
|
|
|
|
|
|
|
|
|
*** Allow /* verilator coverage_block_off */ in place of `coverage_block_off.
|
|
|
|
|
This prevents problems with Emacs AUTORESET. [Ray Strouble]
|
|
|
|
|
|
|
|
|
|
**** Fixed `coverage_block_off also disabling subsequent blocks.
|
|
|
|
|
|
|
|
|
|
**** Fixed unrolling of loops with multiple simple statements.
|
|
|
|
|
|
|
|
|
|
**** Fixed compile warnings on newer GCC. [Kurachi]
|
|
|
|
|
|
|
|
|
|
**** Additional concatenation optimizations.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.003 2002/09/13
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
*** Now compiles on Windows 2000 with Cygwin.
|
|
|
|
|
|
|
|
|
|
**** Fixed bug with pin assignments to wide memories.
|
|
|
|
|
|
|
|
|
|
**** Optimize wire assignments to constants.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.002 2002/08/19
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
** First public release of version 3.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 3.000 2002/08/03
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
** All new code base. Many changes too numerous to mention.
|
|
|
|
|
|
|
|
|
|
*** Approximately 4 times faster then Verilator 2.
|
|
|
|
|
*** Supports initial statements
|
|
|
|
|
*** Supports correct blocking/nonblocking assignments
|
|
|
|
|
*** Supports `defines across multiple modules
|
|
|
|
|
*** Optimizes call ordering, constant propagation, and dead code elimination.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 2.1.8 2002/04/03
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
** All applications must now link against include/verilated.cpp
|
|
|
|
|
|
|
|
|
|
*** Paths specified to verilator_make should be absolute, or be formed
|
|
|
|
|
to allow for execution in the object directory (prepend ../ to each path.)
|
|
|
|
|
This allows relative filenames for makes which hash and cache dependencies.
|
|
|
|
|
|
|
|
|
|
**** Added warning when parameter constants are too large. [John Deroo]
|
|
|
|
|
|
|
|
|
|
**** Added warning when x/?'s used in non-casez statements.
|
|
|
|
|
|
|
|
|
|
**** Added warning when blocking assignments used in posedge blocks. [Dan Lussier]
|
|
|
|
|
|
|
|
|
|
**** Split evaluation function into clocked and non-clocked, 20% perf gain.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 2.1.5 2001/12/1
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
** Added coverage analysis. In conjunction with SystemC provide line
|
|
|
|
|
coverage reports, without SystemC, provide a hook to user written
|
|
|
|
|
accumulation function. See --coverage option of verilator_make.
|
|
|
|
|
|
|
|
|
|
*** Relaxed multiply range checking
|
|
|
|
|
|
|
|
|
|
*** Support for constants up to 128 bits
|
|
|
|
|
|
|
|
|
|
*** Randomize values used when assigning to X's.
|
|
|
|
|
|
|
|
|
|
**** Added -guard option of internal testing.
|
|
|
|
|
|
|
|
|
|
**** Changed indentation in emitted code to be automatically generated.
|
|
|
|
|
|
|
|
|
|
**** Fixed corruption of assignments of signal over 32 bits with non-0 lsb.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 2.1.4 2001/11/16
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
** Added $c("c_commands();"); for embedding arbitrary C code in Verilog.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 2.1.3 2001/11/03
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
** Support for parameters.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 2.1.2 2001/10/25
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
** Verilog Errors now reference the .v file rather then the .vpp file.
|
|
|
|
|
|
|
|
|
|
*** Support strings in assignments: reg [31:0] foo = "STRG";
|
|
|
|
|
|
|
|
|
|
*** Support %m in format strings. Ripped out old $info support, use
|
|
|
|
|
Verilog-Perl's vpm program instead.
|
|
|
|
|
|
|
|
|
|
*** Convert $stop to call of v_stop() which user can define.
|
|
|
|
|
|
|
|
|
|
**** Fixed bug where a==b==c would have wrong precedence rule.
|
|
|
|
|
|
|
|
|
|
**** Fixed bug where XNOR on odd-bit-widths (~^ or ^~) had bad value.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 2.1.1 2001/5/17
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
** New test_sp directory for System-Perl (SystemC) top level instantiation
|
|
|
|
|
of the Verilated code, lower modules are still C++ code. (Experimental).
|
|
|
|
|
|
|
|
|
|
** New test_spp directory for Pure System-Perl (SystemC) where every module
|
|
|
|
|
is true SystemC code. (Experimental)
|
|
|
|
|
|
|
|
|
|
*** Input ports are now loaded by pointer reference into the sub-cell.
|
|
|
|
|
This is faster on I-386 machines, as the stack must be used when there are
|
|
|
|
|
a large number of parameters. Also, this simplifies debugging as the value
|
|
|
|
|
of input ports exists for tracing.
|
|
|
|
|
|
|
|
|
|
**** Many code cleanups towards standard C++ style conventions.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 2.1.0 2001/5/8
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
**** Many code cleanups towards standard C++ style conventions.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2006-08-26 11:35:28 +00:00
|
|
|
|
* {Version history lost}
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 1.8 1996/7/8
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
** [Versions 0 to 1.8 were by Paul Wasson]
|
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed single bit in concat from instance output incorrect offset bug.
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 1.7 1996/5/20
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
**** Mask unused bits of DONTCAREs.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 1.6 1996/5/13
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
*** Added fasttrace script
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 1.5 1996/1/9
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
*** Pass structure pointer into translated code,
|
|
|
|
|
so multiple instances can use same functions.
|
|
|
|
|
|
2007-11-02 11:23:03 +00:00
|
|
|
|
**** Fixed static value concat on casex items.
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 1.1 1995/3/30
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
*** Bug fixes, added verimake_partial script, performance improvements.
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 1.0c 1994/9/30
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
*** Initial release of Verilator
|
|
|
|
|
|
2011-09-15 01:11:14 +00:00
|
|
|
|
|
2008-01-15 15:03:59 +00:00
|
|
|
|
* Verilator 0.0 1994/7/8
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
**** First code written.
|
|
|
|
|
|
|
|
|
|
----------------------------------------------------------------------
|
|
|
|
|
|
|
|
|
|
This uses outline mode in Emacs. See C-h m [M-x describe-mode].
|
|
|
|
|
|
2018-01-02 23:05:06 +00:00
|
|
|
|
Copyright 2001-2018 by Wilson Snyder. This program is free software; you
|
2009-05-04 21:07:57 +00:00
|
|
|
|
can redistribute it and/or modify it under the terms of either the GNU
|
|
|
|
|
Lesser General Public License Version 3 or the Perl Artistic License
|
|
|
|
|
Version 2.0.
|
2006-08-26 11:35:28 +00:00
|
|
|
|
|
|
|
|
|
Local variables:
|
|
|
|
|
mode: outline
|
2016-03-15 01:51:31 +00:00
|
|
|
|
paragraph-separate: "[ \f\n]*$"
|
2006-08-26 11:35:28 +00:00
|
|
|
|
end:
|