.. |
t_sv_bus_mux_demux
|
Tests: Added additional SystemVerilog tests.
|
2012-03-20 19:28:35 -04:00 |
t_sv_cpu_code
|
Tests: Add t_sv_cpu, bug473. By Jeremy Bennett.
|
2012-05-16 18:38:01 -04:00 |
tsub
|
Add -F option to read relative option files, bug297.
|
2010-11-03 07:21:34 -04:00 |
.gitattributes
|
Fix "output reg name=expr;" syntax error. [Martin Scharrer]
|
2008-04-14 21:10:34 +00:00 |
bootstrap.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_alw_combdly.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_alw_combdly.v
|
Support constants in sensitivity lists, bug412.
|
2011-11-02 18:34:17 -04:00 |
t_alw_dly.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_alw_dly.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_alw_split.pl
|
Tests: file_grep prints failing expected value when possible.
|
2012-05-11 18:24:49 -04:00 |
t_alw_split.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_alw_splitord.pl
|
Tests: file_grep prints failing expected value when possible.
|
2012-05-11 18:24:49 -04:00 |
t_alw_splitord.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_array_packed_sysfunct.pl
|
tests: Add unsupported as target type; additional tests
|
2012-03-24 11:10:17 -04:00 |
t_array_packed_sysfunct.v
|
Tests: Added additional SystemVerilog tests.
|
2012-03-20 19:28:35 -04:00 |
t_array_packed_value_list.pl
|
tests: Add unsupported as target type; additional tests
|
2012-03-24 11:10:17 -04:00 |
t_array_packed_value_list.v
|
Support '{} assignment pattern on structures, part of bug355.
|
2012-08-12 15:15:21 -04:00 |
t_array_packed_write_read.pl
|
tests: Add unsupported as target type; additional tests
|
2012-03-24 11:10:17 -04:00 |
t_array_packed_write_read.v
|
Support '{} assignment pattern on structures, part of bug355.
|
2012-08-12 15:15:21 -04:00 |
t_array_query.pl
|
Tests: Add t_array_query, t_sv_conditional, bug473
|
2012-04-12 18:15:39 -04:00 |
t_array_query.v
|
Tests: Add t_array_query, t_sv_conditional, bug473
|
2012-04-12 18:15:39 -04:00 |
t_assert_basic_cover.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_assert_basic_fail.pl
|
Tests: Support atsim and cleanup verilator-only tests
|
2010-03-18 12:03:08 -04:00 |
t_assert_basic_off.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_assert_basic.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_assert_basic.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_assert_cover_off.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_assert_cover.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_assert_cover.v
|
Fix "Missing coverage in PSL" due to "cover property $stop" statements.
|
2008-11-05 10:23:03 -05:00 |
t_assert_dup_bad.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_assert_dup_bad.v
|
Report errors when duplicate labels are used, bug72.
|
2009-03-23 14:57:15 -04:00 |
t_assert_synth_full.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_assert_synth_off.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_assert_synth_parallel.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_assert_synth.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_assert_synth.v
|
Commentary
|
2011-07-01 15:23:09 -04:00 |
t_attr_parenstar.pl
|
Fix "always @ (* )", bug403, bug404.
|
2011-10-25 18:08:24 -04:00 |
t_attr_parenstar.v
|
Fix "always @ (* )", bug403, bug404.
|
2011-10-25 18:08:24 -04:00 |
t_bench_mux4k.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_bench_mux4k.v
|
Fix SystemC 2.2 deprecated warnings about sensitive() and sc_start().
|
2008-12-02 15:33:49 -05:00 |
t_bench_synmul.pl
|
Driver: Fix preproc running in parallel random fails
|
2009-06-12 09:50:27 -04:00 |
t_bind.pl
|
tests: Add unsupported as target type; additional tests
|
2012-03-24 11:10:17 -04:00 |
t_bind.v
|
tests: Add unsupported as target type; additional tests
|
2012-03-24 11:10:17 -04:00 |
t_bitsel_const_bad.pl
|
Tests: Add bug508, bug509 examples
|
2012-05-08 17:41:42 -04:00 |
t_bitsel_const_bad.v
|
Tests: Add bug508, bug509 examples
|
2012-05-08 17:41:42 -04:00 |
t_bitsel_wire_array_bad.pl
|
Tests: Add bug508, bug509 examples
|
2012-05-08 17:41:42 -04:00 |
t_bitsel_wire_array_bad.v
|
Tests: Add bug508, bug509 examples
|
2012-05-08 17:41:42 -04:00 |
t_blocking.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_blocking.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_case_66bits.pl
|
Update test driver.pl: Allow -j auto determination, make logfile, don't require cd
|
2008-09-23 10:02:31 -04:00 |
t_case_66bits.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_case_auto1.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_case_auto1.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_case_deep.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_case_deep.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_case_default_bad.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_case_default_bad.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_case_dupitems.pl
|
Fix error on case statement with all duplicate items, bug99.
|
2009-06-22 18:49:20 -04:00 |
t_case_dupitems.v
|
Fix error on case statement with all duplicate items, bug99.
|
2009-06-22 18:49:20 -04:00 |
t_case_genx_bad.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_case_genx_bad.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_case_huge_sub2.v
|
Commentary
|
2011-07-01 15:23:09 -04:00 |
t_case_huge_sub3.v
|
Make test_regress now places each test result in unique obj_dir
|
2008-11-24 21:38:45 -05:00 |
t_case_huge_sub4.v
|
Make test_regress now places each test result in unique obj_dir
|
2008-11-24 21:38:45 -05:00 |
t_case_huge_sub.v
|
Make test_regress now places each test result in unique obj_dir
|
2008-11-24 21:38:45 -05:00 |
t_case_huge.pl
|
Tests: file_grep prints failing expected value when possible.
|
2012-05-11 18:24:49 -04:00 |
t_case_huge.v
|
Make test_regress now places each test result in unique obj_dir
|
2008-11-24 21:38:45 -05:00 |
t_case_itemwidth.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_case_itemwidth.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_case_nest.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_case_nest.v
|
Internals: Add isRanged, more debug. No functional change.
|
2012-03-04 16:18:41 -05:00 |
t_case_onehot.pl
|
Add new tests to support for future commit
|
2009-10-22 22:25:24 -04:00 |
t_case_onehot.v
|
Add new tests to support for future commit
|
2009-10-22 22:25:24 -04:00 |
t_case_orig.pl
|
Move test_v submodule into t_case_orig. No functional change
|
2009-09-23 21:04:12 -04:00 |
t_case_orig.v
|
Move test_v submodule into t_case_orig. No functional change
|
2009-09-23 21:04:12 -04:00 |
t_case_wild.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_case_wild.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_case_write1_tasks.v
|
Support $fopen and I/O with integer instead of `verilator_file_descriptor.
|
2011-07-01 13:41:21 -04:00 |
t_case_write1.out
|
|
|
t_case_write1.pl
|
Tests: Support atsim and cleanup verilator-only tests
|
2010-03-18 12:03:08 -04:00 |
t_case_write1.v
|
Make test_regress now places each test result in unique obj_dir
|
2008-11-24 21:38:45 -05:00 |
t_case_write2_tasks.v
|
Support $fopen and I/O with integer instead of `verilator_file_descriptor.
|
2011-07-01 13:41:21 -04:00 |
t_case_write2.out
|
|
|
t_case_write2.pl
|
Tests: Support atsim and cleanup verilator-only tests
|
2010-03-18 12:03:08 -04:00 |
t_case_write2.v
|
Make test_regress now places each test result in unique obj_dir
|
2008-11-24 21:38:45 -05:00 |
t_case_x_bad.pl
|
Internals: Move CASEX warning with other case lints; add test
|
2010-01-09 11:09:14 -05:00 |
t_case_x_bad.v
|
Internals: Move CASEX warning with other case lints; add test
|
2010-01-09 11:09:14 -05:00 |
t_case_x.pl
|
Tests: Support atsim and cleanup verilator-only tests
|
2010-03-18 12:03:08 -04:00 |
t_case_x.v
|
Add CASEZWITHX lint warning and if disabled fix handling of casez with Xs.
|
2008-07-22 13:07:19 -04:00 |
t_case_zx_bad.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_case_zx_bad.v
|
Add CASEZWITHX lint warning and if disabled fix handling of casez with Xs.
|
2008-07-22 13:07:19 -04:00 |
t_cast.pl
|
Support simple cast operators, bug335.
|
2011-03-17 22:25:49 -04:00 |
t_cast.v
|
Support simple cast operators, bug335.
|
2011-03-17 22:25:49 -04:00 |
t_cdc_async_bad.pl
|
Throw UNUSED/UNDRIVEN only once per net in a parametrized module.
|
2011-01-18 21:28:51 -05:00 |
t_cdc_async_bad.v
|
--cdc: Report in more typical source to dest order
|
2010-01-09 09:05:00 -05:00 |
t_clk_2in_vec.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_clk_2in.cpp
|
Add Emacs indentation line. No functional change
|
2012-04-12 21:08:20 -04:00 |
t_clk_2in.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_clk_2in.v
|
Add t_clk_2in test
|
2010-06-21 20:40:34 -04:00 |
t_clk_condflop.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_clk_condflop.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_clk_dpulse.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_clk_dpulse.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_clk_dsp.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_clk_dsp.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_clk_gater.pl
|
Tests: file_grep prints failing expected value when possible.
|
2012-05-11 18:24:49 -04:00 |
t_clk_gater.v
|
Commentary
|
2011-07-01 15:23:09 -04:00 |
t_clk_gen.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_clk_gen.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_clk_latch_edgestyle.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_clk_latch.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_clk_latch.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_clk_latchgate.pl
|
Fix clock-gates with non-AND complex logic, bug220.
|
2010-03-16 18:50:26 -04:00 |
t_clk_latchgate.v
|
Fix clock-gates with non-AND complex logic, bug220.
|
2010-03-16 18:50:26 -04:00 |
t_clk_powerdn.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_clk_powerdn.v
|
Internals: Rename functions to match real branch. No functional change.
|
2011-07-21 21:32:31 -04:00 |
t_clk_vecgen1.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_clk_vecgen1.v
|
Support posedge of bit-selected signals, bug45.
|
2008-11-22 21:10:20 -05:00 |
t_clk_vecgen2.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_clk_vecgen3.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_const_dec_mixed_bad.pl
|
Support decimal constants of arbitrary widths.
|
2009-06-12 08:27:48 -04:00 |
t_const_dec_mixed_bad.v
|
Support decimal constants of arbitrary widths.
|
2009-06-12 08:27:48 -04:00 |
t_const_overflow_bad.pl
|
Support decimal constants of arbitrary widths.
|
2009-06-12 08:27:48 -04:00 |
t_const_overflow_bad.v
|
Support decimal constants of arbitrary widths.
|
2009-06-12 08:27:48 -04:00 |
t_cover_line_cc.pl
|
Support coverage in -cc and -sc output modes.
|
2011-07-28 19:41:05 -04:00 |
t_cover_line_sc.pl
|
Support coverage in -cc and -sc output modes.
|
2011-07-28 19:41:05 -04:00 |
t_cover_line_sp.pl
|
Support coverage in -cc and -sc output modes.
|
2011-07-28 19:41:05 -04:00 |
t_cover_line.v
|
Tests: Support atsim and cleanup verilator-only tests
|
2010-03-18 12:03:08 -04:00 |
t_cover_sva_notflat.pl
|
Tests: Support atsim and cleanup verilator-only tests
|
2010-03-18 12:03:08 -04:00 |
t_cover_sva_notflat.v
|
Fix the SC_MODULE name() to not include __PVT__, for nicer coverage.
|
2009-03-12 14:07:38 -04:00 |
t_cover_toggle.pl
|
Fix valgrind uninitialized bits.
|
2012-07-19 22:26:15 -04:00 |
t_cover_toggle.v
|
Tests: Use top. instead of TOP. to match other sims
|
2009-12-05 09:58:09 -05:00 |
t_debug_fatalsrc_bad.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_debug_fatalsrc_bt_bad.pl
|
Fix and document --gdb option, bug454.
|
2012-03-09 18:37:38 -05:00 |
t_debug_sigsegv_bad.pl
|
Fix and document --gdb option, bug454.
|
2012-03-09 18:37:38 -05:00 |
t_debug_sigsegv_bt_bad.pl
|
Fix and document --gdb option, bug454.
|
2012-03-09 18:37:38 -05:00 |
t_delay_stmtdly_bad.pl
|
List unsupported on delays
|
2011-10-21 07:13:38 -04:00 |
t_delay.pl
|
Add -Wall reporting ASSIGNDLY on assignment delays.
|
2011-10-20 20:50:42 -04:00 |
t_delay.v
|
Add -Wall reporting ASSIGNDLY on assignment delays.
|
2011-10-20 20:50:42 -04:00 |
t_display_bad.pl
|
Better message for display-like format warnings, bug500.
|
2012-05-02 21:04:50 -04:00 |
t_display_bad.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_display_noopt.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_display_real_noopt.pl
|
Fix $display with %d following %g.
|
2011-11-20 00:45:59 -05:00 |
t_display_real.pl
|
Fix $display with %d following %g.
|
2011-11-20 00:45:59 -05:00 |
t_display_real.v
|
Fix $display with %d following %g.
|
2011-11-20 00:45:59 -05:00 |
t_display_signed_noopt.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_display_signed.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_display_signed.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_display_time.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_display_time.v
|
Fix with no parenthesis
|
2010-01-06 14:13:11 -05:00 |
t_display_wide.pl
|
Fix missing test files
|
2011-04-06 07:33:32 -04:00 |
t_display_wide.v
|
Fix missing test files
|
2011-04-06 07:33:32 -04:00 |
t_display.pl
|
Tests: %t formatting
|
2011-07-21 19:37:53 -04:00 |
t_display.v
|
Tests: %t formatting
|
2011-07-21 19:37:53 -04:00 |
t_dist_cinclude.pl
|
Tests: Fix vpi_user addition failure
|
2010-04-06 18:43:24 -04:00 |
t_dist_fixme.pl
|
Tests: Add fix-me test
|
2009-12-02 20:05:12 -05:00 |
t_dist_install.pl
|
tests: Fix t_dist_install breaking corunning test
|
2011-12-15 18:39:51 -05:00 |
t_dist_manifest.pl
|
Tests: Cleanup MANIFEST.SKIP
|
2009-11-15 09:18:57 -05:00 |
t_dist_portability.pl
|
Internals: V3Hashed cleanups; merge from dtype branch. No functional change.
|
2012-04-28 12:33:51 -04:00 |
t_dist_spdiff.pl
|
SystemPerl is no longer required for tracing.
|
2010-01-24 18:37:01 -05:00 |
t_dist_untracked.pl
|
Add t_dist_untracked files to prevent forgetting adds
|
2009-11-06 17:52:54 -05:00 |
t_dos.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_dos.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_dpi_2exp_bad.pl
|
Support DPI exports
|
2009-12-20 08:27:00 -05:00 |
t_dpi_2exp_bad.v
|
Support DPI exports
|
2009-12-20 08:27:00 -05:00 |
t_dpi_accessors_inc.vh
|
Tests: Add t_dpi_accessors
|
2012-03-27 20:06:59 -04:00 |
t_dpi_accessors_macros_inc.vh
|
Tests: Add t_dpi_accessors
|
2012-03-27 20:06:59 -04:00 |
t_dpi_accessors.cpp
|
Add Emacs indentation line. No functional change
|
2012-04-12 21:08:20 -04:00 |
t_dpi_accessors.pl
|
Tests: Add t_dpi_accessors
|
2012-03-27 20:06:59 -04:00 |
t_dpi_accessors.v
|
Tests: Add t_dpi_accessors
|
2012-03-27 20:06:59 -04:00 |
t_dpi_context_c.cpp
|
Portability fixes for gcc 4.6.3
|
2012-05-10 22:04:53 -04:00 |
t_dpi_context_noopt.pl
|
Support DPI context imports
|
2009-12-05 10:38:49 -05:00 |
t_dpi_context.pl
|
Support DPI context imports
|
2009-12-05 10:38:49 -05:00 |
t_dpi_context.v
|
Support DPI context imports
|
2009-12-05 10:38:49 -05:00 |
t_dpi_display_c.cpp
|
Add Emacs indentation line. No functional change
|
2012-04-12 21:08:20 -04:00 |
t_dpi_display.pl
|
DPI $display like sformat metacomment and $sformatf
|
2010-01-17 19:13:44 -05:00 |
t_dpi_display.v
|
DPI $display like sformat metacomment and $sformatf
|
2010-01-17 19:13:44 -05:00 |
t_dpi_dup_bad.pl
|
Support direct programming interface (DPI) "import".
|
2009-12-03 06:55:29 -05:00 |
t_dpi_dup_bad.v
|
Support direct programming interface (DPI) "import".
|
2009-12-03 06:55:29 -05:00 |
t_dpi_export_c.cpp
|
Add Emacs indentation line. No functional change
|
2012-04-12 21:08:20 -04:00 |
t_dpi_export.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_dpi_export.v
|
Fix 96 bit DPI input/outputs, bug359
|
2011-06-28 20:45:50 -04:00 |
t_dpi_import_c.cpp
|
Add Emacs indentation line. No functional change
|
2012-04-12 21:08:20 -04:00 |
t_dpi_import.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_dpi_import.v
|
Fix DPI import false BLKSEQ warnings.
|
2011-10-07 20:04:15 -04:00 |
t_dpi_logic_bad.pl
|
Support direct programming interface (DPI) "import".
|
2009-12-03 06:55:29 -05:00 |
t_dpi_logic_bad.v
|
Support direct programming interface (DPI) "import".
|
2009-12-03 06:55:29 -05:00 |
t_dpi_name_bad.pl
|
Support direct programming interface (DPI) "import".
|
2009-12-03 06:55:29 -05:00 |
t_dpi_name_bad.v
|
Support direct programming interface (DPI) "import".
|
2009-12-03 06:55:29 -05:00 |
t_dpi_qw_c.cpp
|
Add Emacs indentation line. No functional change
|
2012-04-12 21:08:20 -04:00 |
t_dpi_qw.pl
|
Fix dpi exports with > 32 bit but < 64 bit args, bug423.
|
2011-11-28 21:15:57 -05:00 |
t_dpi_qw.v
|
driver.pl: Add --no-verilation option
|
2012-04-16 20:28:24 -04:00 |
t_dpi_shortcircuit_c.cpp
|
Add Emacs indentation line. No functional change
|
2012-04-12 21:08:20 -04:00 |
t_dpi_shortcircuit.pl
|
Tests added
|
2012-04-12 20:13:35 -04:00 |
t_dpi_shortcircuit.v
|
Tests added
|
2012-04-12 20:13:35 -04:00 |
t_dpi_sys_c.cpp
|
Add Emacs indentation line. No functional change
|
2012-04-12 21:08:20 -04:00 |
t_dpi_sys.pl
|
DPI import: Allow system calls to call imports
|
2009-12-04 07:05:44 -05:00 |
t_dpi_sys.v
|
DPI: Fix imports from unit level
|
2009-12-04 07:41:18 -05:00 |
t_dpi_var.cpp
|
Add Emacs indentation line. No functional change
|
2012-04-12 21:08:20 -04:00 |
t_dpi_var.pl
|
Support runtime access to public signal names
|
2010-03-17 08:22:49 -04:00 |
t_dpi_var.v
|
Add /*verilator public_flat_rw*/ for timing-specific public access.
|
2010-04-05 20:01:17 -04:00 |
t_embed1_c.cpp
|
Add Emacs indentation line. No functional change
|
2012-04-12 21:08:20 -04:00 |
t_embed1_child.v
|
Tests: Example embedding
|
2011-05-22 08:43:36 -04:00 |
t_embed1_wrap.v
|
Tests: Example embedding
|
2011-05-22 08:43:36 -04:00 |
t_embed1.pl
|
Tests: Example embedding
|
2011-05-22 08:43:36 -04:00 |
t_embed1.v
|
Tests: Example embedding
|
2011-05-22 08:43:36 -04:00 |
t_emit_constw.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_emit_constw.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_enum_func.pl
|
Fix enums in port crossings and function arguments
|
2010-01-05 21:32:13 -05:00 |
t_enum_func.v
|
Fix enums in port crossings and function arguments
|
2010-01-05 21:32:13 -05:00 |
t_enum_int.pl
|
Support enums
|
2009-12-27 08:29:55 -05:00 |
t_enum_int.v
|
Fix multiple declarations on one enum, bug199
|
2010-01-06 19:04:20 -05:00 |
t_enum_overlap_bad.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_enum_overlap_bad.v
|
Support enums
|
2009-12-27 08:29:55 -05:00 |
t_enum_type_methods.pl
|
Tests: Add t_enum_type_methods, bug473
|
2012-04-12 18:21:08 -04:00 |
t_enum_type_methods.v
|
Tests: Add t_enum_type_methods, bug473
|
2012-04-12 18:21:08 -04:00 |
t_enum.pl
|
Support enums
|
2009-12-27 08:29:55 -05:00 |
t_enum.v
|
Fix error on enum references to other packages, bug339.
|
2011-04-13 19:34:14 -04:00 |
t_enumeration.pl
|
tests: Add unsupported as target type; additional tests
|
2012-03-24 11:10:17 -04:00 |
t_enumeration.v
|
Tests: Added additional SystemVerilog tests.
|
2012-03-20 19:28:35 -04:00 |
t_EXAMPLE.pl
|
Tests: Add t_array_query, t_sv_conditional, bug473
|
2012-04-12 18:15:39 -04:00 |
t_EXAMPLE.v
|
Fix BLKSEQ warnings on variables declared inside always.
|
2012-01-19 21:15:21 -05:00 |
t_extend_class_c.h
|
Add Emacs indentation line. No functional change
|
2012-04-12 21:08:20 -04:00 |
t_extend_class.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_extend_class.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_extend.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_extend.v
|
Use 'vluint64_t' for SystemC instead of (same sized) 'uint64' for MSVC++.
|
2011-06-29 19:19:01 -04:00 |
t_flag_bboxsys.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_flag_bboxsys.v
|
Fix with no parenthesis
|
2010-01-06 14:21:34 -05:00 |
t_flag_f__2.vc
|
Expand environment variables in -f input files.
|
2008-09-29 15:51:45 -04:00 |
t_flag_f__3.v
|
Add env var test
|
2009-07-15 10:14:10 -04:00 |
t_flag_f.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_flag_f.v
|
Add -F option to read relative option files, bug297.
|
2010-11-03 07:21:34 -04:00 |
t_flag_f.vc
|
Support ${...} and $(...) env variables in .vc files
|
2011-03-21 12:25:31 -04:00 |
t_flag_future.pl
|
/sformat should accept rvalue expressions
|
2010-01-14 19:07:18 -05:00 |
t_flag_future.v
|
Add --Wfuture-, for improving forward compatibility.
|
2008-07-22 14:27:34 -04:00 |
t_flag_language.pl
|
Update test driver.pl: Allow -j auto determination, make logfile, don't require cd
|
2008-09-23 10:02:31 -04:00 |
t_flag_language.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_flag_ldflags_a.cpp
|
Add Emacs indentation line. No functional change
|
2012-04-12 21:08:20 -04:00 |
t_flag_ldflags_c.cpp
|
Add Emacs indentation line. No functional change
|
2012-04-12 21:08:20 -04:00 |
t_flag_ldflags_so.cpp
|
Add Emacs indentation line. No functional change
|
2012-04-12 21:08:20 -04:00 |
t_flag_ldflags.pl
|
Tests: Support atsim and cleanup verilator-only tests
|
2010-03-18 12:03:08 -04:00 |
t_flag_ldflags.v
|
Add -CFLAGS, -LDFLAGS, <file>.a, <file>.o, and <file>.so options.
|
2010-01-28 19:33:02 -05:00 |
t_flag_lib.pl
|
Add new tests to support for future commit
|
2009-10-22 22:25:24 -04:00 |
t_flag_lib.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_flag_libinc.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_flag_nomod_bad.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_flag_nomod_bad.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_flag_skipidentical.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_flag_skipidentical.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_flag_topmod2_bad.pl
|
Search for user -y paths before default current directory.
|
2011-10-28 18:57:40 -04:00 |
t_flag_topmod2_bad.v
|
Fix segfault with error on bad --top-module, bug79.
|
2009-04-24 10:32:11 -04:00 |
t_flag_topmodule_bad2.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_flag_topmodule_bad.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_flag_topmodule_inline.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_flag_topmodule_inline.v
|
Fix "cloning" error with -y/--top-module, bug76.
|
2009-04-06 22:26:38 -04:00 |
t_flag_topmodule.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_flag_topmodule.v
|
Make test_regress now places each test result in unique obj_dir
|
2008-11-24 21:38:45 -05:00 |
t_flag_werror_bad1.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_flag_werror_bad2.pl
|
On WIDTH warnings, show variable name causing error.
|
2009-07-09 17:39:24 -04:00 |
t_flag_werror.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_flag_wfatal.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_flag_wfatal.v
|
Add --Wno-fatal to turn off abort on warnings.
|
2011-03-22 18:09:39 -04:00 |
t_for_break.pl
|
Support "break", "continue", "return".
|
2010-02-14 10:01:21 -05:00 |
t_for_break.v
|
Support disable for loop escapes.
|
2011-06-28 21:26:49 -04:00 |
t_for_count.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_for_count.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_for_funcbound.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_for_funcbound.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_for_init_bug.pl
|
Fix segfault on unrolling for's with bad inits, bug90.
|
2009-06-08 15:59:33 -04:00 |
t_for_init_bug.v
|
Fix segfault on unrolling for's with bad inits, bug90.
|
2009-06-08 15:59:33 -04:00 |
t_for_local.pl
|
Support declarations in loop initializers, bug172.
|
2009-11-09 19:09:27 -05:00 |
t_for_local.v
|
Support declarations in loop initializers, bug172.
|
2009-11-09 19:09:27 -05:00 |
t_for_loop.pl
|
Tests: Convert t_loop into test_regress format
|
2009-07-21 10:42:10 -04:00 |
t_for_loop.v
|
Support for loop i++, ++i, i--, --i, bug175.
|
2009-11-10 16:40:07 -05:00 |
t_func_bad2.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_func_bad2.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_func_bad_width.pl
|
On WIDTH warnings, show variable name causing error.
|
2009-07-09 17:39:24 -04:00 |
t_func_bad_width.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_func_bad.pl
|
Fix duplicate warnings/errors, bug516.
|
2012-05-21 21:31:52 -04:00 |
t_func_bad.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_func_begin2.pl
|
Fix duplicate begin error broke in 3.840, bug548.
|
2012-08-10 19:39:18 -04:00 |
t_func_begin2.v
|
Fix duplicate begin error broke in 3.840, bug548.
|
2012-08-10 19:39:18 -04:00 |
t_func_check.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_func_check.v
|
Tests: Support atsim and cleanup verilator-only tests
|
2010-03-18 12:03:08 -04:00 |
t_func_const_bad.pl
|
Fix constant functions with and begin/end
|
2009-07-21 14:31:16 -04:00 |
t_func_const_bad.v
|
Fix constant functions with and begin/end
|
2009-07-21 14:31:16 -04:00 |
t_func_const.pl
|
Support constant function calls for parameters - missed adding tests
|
2009-07-20 15:08:50 -04:00 |
t_func_const.v
|
Support "break", "continue", "return".
|
2010-02-14 10:01:21 -05:00 |
t_func_crc.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_func_crc.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_func_dotted_inl0.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_func_dotted_inl1.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_func_dotted_inl2.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_func_dotted.v
|
Add SystemVerilog 1800-2009 keywords
|
2010-01-21 21:58:45 -05:00 |
t_func_endian.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_func_endian.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_func_first.pl
|
Tests: Move old-style test_v's t_arith, etc to test_regress area
|
2010-01-09 21:19:30 -05:00 |
t_func_first.v
|
Tests: Support atsim and cleanup verilator-only tests
|
2010-03-18 12:03:08 -04:00 |
t_func_flip.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_func_flip.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_func_gen.pl
|
Fix hang when functions inside begin block.
|
2012-02-21 21:25:11 -05:00 |
t_func_gen.v
|
Fix functions inside generate for block.
|
2012-02-21 22:23:06 -05:00 |
t_func_graphcirc.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_func_graphcirc.v
|
Tests: Support atsim and cleanup verilator-only tests
|
2010-03-18 12:03:08 -04:00 |
t_func_grey.pl
|
Tests: Move old-style test_v's t_arith, etc to test_regress area
|
2010-01-09 21:19:30 -05:00 |
t_func_grey.v
|
Tests: Support atsim and cleanup verilator-only tests
|
2010-03-18 12:03:08 -04:00 |
t_func_lib_sub.pl
|
Tests: Support atsim and cleanup verilator-only tests
|
2010-03-18 12:03:08 -04:00 |
t_func_lib_sub.v
|
Report error if port declaration is missing; bug32.
|
2008-09-23 09:35:00 -04:00 |
t_func_lib.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_func_lib.v
|
Make test_regress now places each test result in unique obj_dir
|
2008-11-24 21:38:45 -05:00 |
t_func_mlog2.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_func_mlog2.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_func_noinl.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_func_noinl.v
|
Fix IMPURE errors due to X-assignment temporary variables.
|
2008-07-14 10:42:58 -04:00 |
t_func_numones.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_func_numones.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_func_outfirst.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_func_outfirst.v
|
Add missing files from last bug
|
2009-04-23 09:13:55 -04:00 |
t_func_outp.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_func_outp.v
|
Make test_regress now places each test result in unique obj_dir
|
2008-11-24 21:38:45 -05:00 |
t_func_paramed.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_func_paramed.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_func_plog.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_func_plog.v
|
Support constant function calls for parameters.
|
2009-07-17 14:13:11 -04:00 |
t_func_public_trace.pl
|
Tests: Support atsim and cleanup verilator-only tests
|
2010-03-18 12:03:08 -04:00 |
t_func_public.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_func_public.v
|
Use 'vluint64_t' for SystemC instead of (same sized) 'uint64' for MSVC++.
|
2011-06-29 19:19:01 -04:00 |
t_func_rand.cpp
|
Add Emacs indentation line. No functional change
|
2012-04-12 21:08:20 -04:00 |
t_func_rand.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_func_rand.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_func_range.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_func_range.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_func_real_abs.pl
|
Fix ITOD internal error on real conversions, bug491.
|
2012-04-26 22:30:22 -04:00 |
t_func_real_abs.v
|
Fix input and real loosing real data type, bug501.
|
2012-05-02 20:53:38 -04:00 |
t_func_real_param.pl
|
Fix real constant parameter functions, bug475.
|
2012-04-02 21:58:40 -04:00 |
t_func_real_param.v
|
Fix real constant parameter functions, bug475.
|
2012-04-02 21:58:40 -04:00 |
t_func_regfirst.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_func_regfirst.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_func_return.pl
|
Fix bad result with if-else-return optimization, bug420.
|
2011-11-12 08:07:30 -05:00 |
t_func_return.v
|
Internals: Remove dead code. No functional change intended.
|
2012-04-26 18:42:02 -04:00 |
t_func_sum.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_func_sum.v
|
Fix lvalue errors with public functions; bug25.
|
2008-07-22 11:15:28 -04:00 |
t_func_task_bad.pl
|
Report error on function call output tied to constant.
|
2011-02-14 19:25:30 -05:00 |
t_func_task_bad.v
|
Report error on function call output tied to constant.
|
2011-02-14 19:25:30 -05:00 |
t_func_tie_bad.pl
|
Report error on function call output tied to constant.
|
2011-02-14 19:25:30 -05:00 |
t_func_tie_bad.v
|
Report error on function call output tied to constant.
|
2011-02-14 19:25:30 -05:00 |
t_func_twocall.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_func_twocall.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_func_types.pl
|
Fix functions arguments without leading input
|
2009-11-24 22:16:28 -05:00 |
t_func_types.v
|
Fix functions arguments without leading input
|
2009-11-24 22:16:28 -05:00 |
t_func_wide_out_bad.pl
|
Fix backward widths in function output warning
|
2012-03-03 12:09:01 -05:00 |
t_func_wide_out_bad.v
|
Make width violation on function outputs a fatal error
|
2011-02-18 20:52:26 -05:00 |
t_func_wide.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_func_wide.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_func.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_func.v
|
Report error on function call output tied to constant.
|
2011-02-14 19:25:30 -05:00 |
t_gate_basic.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_gate_basic.v
|
--bbox-unsup now ignores cmos and tran gate primitives
|
2010-01-07 22:08:48 -05:00 |
t_gate_elim.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_gate_elim.v
|
Make test_regress now places each test result in unique obj_dir
|
2008-11-24 21:38:45 -05:00 |
t_gate_implicit.pl
|
Fix implicit nets when created after used
|
2009-12-16 11:03:07 -05:00 |
t_gate_implicit.v
|
Fix implicit nets when created after used
|
2009-12-16 11:03:07 -05:00 |
t_gate_unsup.pl
|
--bbox-unsup now ignores cmos and tran gate primitives
|
2010-01-07 22:08:48 -05:00 |
t_gate_unsup.v
|
--bbox-unsup now ignores cmos and tran gate primitives
|
2010-01-07 22:08:48 -05:00 |
t_gen_alw.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_gen_alw.v
|
Fix 'for' under 'generate-for' causing error; bug38.
|
2008-10-28 21:38:01 -04:00 |
t_gen_assign.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_gen_assign.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_gen_cond_bitrange_bad.pl
|
Fix generate operators not short circuiting, bug413. Merge from Jeremy Bennett.
|
2012-04-19 22:53:52 -04:00 |
t_gen_cond_bitrange_bad.v
|
Fix generate operators not short circuiting, bug413. Merge from Jeremy Bennett.
|
2012-04-19 22:53:52 -04:00 |
t_gen_cond_bitrange.pl
|
Fix generate operators not short circuiting, bug413. Merge from Jeremy Bennett.
|
2012-04-19 22:53:52 -04:00 |
t_gen_cond_bitrange.v
|
Fix generate operators not short circuiting, bug413. Merge from Jeremy Bennett.
|
2012-04-19 22:53:52 -04:00 |
t_gen_cond_const.pl
|
Fix generate if, broke in earlier committ, bug492. Merge from Bennett.
|
2012-04-25 18:17:10 -04:00 |
t_gen_cond_const.v
|
Fix generate if, broke in earlier committ, bug492. Merge from Bennett.
|
2012-04-25 18:17:10 -04:00 |
t_gen_defparam.pl
|
Fix defparam in generate broke in 3.840, bug543.
|
2012-08-07 18:24:51 -04:00 |
t_gen_defparam.v
|
Fix defparam in generate broke in 3.840, bug543.
|
2012-08-07 18:24:51 -04:00 |
t_gen_div0.pl
|
Fix processing unused parametrized modules, bug470.
|
2012-03-24 15:54:06 -04:00 |
t_gen_div0.v
|
Fix processing unused parametrized modules, bug470.
|
2012-03-24 15:54:06 -04:00 |
t_gen_for0.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_gen_for0.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_gen_for1.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_gen_for1.v
|
Make test_regress now places each test result in unique obj_dir
|
2008-11-24 21:38:45 -05:00 |
t_gen_for_shuffle.pl
|
Add new tests to support for future commit
|
2009-10-22 22:25:24 -04:00 |
t_gen_for_shuffle.v
|
Internals: Commentary and new select tests for future merge-in. No functional change.
|
2009-10-15 21:47:15 -04:00 |
t_gen_for.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_gen_for.v
|
Support "generate for (genvar i=0; ...".
|
2011-11-29 18:23:18 -05:00 |
t_gen_forif.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_gen_forif.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_gen_if.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_gen_if.v
|
Fix duplicate implicit variables under generates, bug201
|
2010-01-11 12:36:12 -05:00 |
t_gen_inc.pl
|
Support generate for var++, var--, ++var, --var.
|
2009-09-16 10:32:14 -04:00 |
t_gen_inc.v
|
Support += and -= in standard for loops, bug463.
|
2012-03-22 21:02:38 -04:00 |
t_gen_index.pl
|
New tests
|
2012-08-07 20:59:34 -04:00 |
t_gen_index.v
|
New tests
|
2012-08-07 20:59:34 -04:00 |
t_gen_intdot2.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_gen_intdot2.v
|
Support "generate for (genvar i=0; ...".
|
2011-11-29 18:23:18 -05:00 |
t_gen_intdot.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_gen_intdot.v
|
Support "generate for (genvar i=0; ...".
|
2011-11-29 18:23:18 -05:00 |
t_gen_local.pl
|
Fix genvar and begin under generate, bug461.
|
2012-03-23 08:49:47 -04:00 |
t_gen_local.v
|
Tests: Skip test for bug461
|
2012-03-22 22:15:35 -04:00 |
t_gen_mislevel.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_gen_mislevel.v
|
Fix certain generate-if cells causing clone error.
|
2008-12-09 20:59:22 -05:00 |
t_gen_missing_bad.pl
|
Search for user -y paths before default current directory.
|
2011-10-28 18:57:40 -04:00 |
t_gen_missing.pl
|
Fix reporting not found modules if generate-off, bug403.
|
2011-10-27 20:56:38 -04:00 |
t_gen_missing.v
|
Add PINMISSING and PINNOCONNECT lint checks.
|
2012-04-26 18:46:44 -04:00 |
t_gen_upscope.pl
|
Test
|
2012-08-23 13:26:12 -04:00 |
t_gen_upscope.v
|
Test
|
2012-08-23 13:26:12 -04:00 |
t_gen_var_bad.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_gen_var_bad.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_genvar_misuse_bad.pl
|
tests: Add unsupported as target type; additional tests
|
2012-03-24 11:10:17 -04:00 |
t_genvar_misuse_bad.v
|
Tests: Parameter bug case
|
2012-03-09 19:35:37 -05:00 |
t_help.pl
|
Tests: Support atsim and cleanup verilator-only tests
|
2010-03-18 12:03:08 -04:00 |
t_hierarchy_identifier_bad.pl
|
Tests: Added additional SystemVerilog tests.
|
2012-03-20 19:28:35 -04:00 |
t_hierarchy_identifier_bad.v
|
Tests: Added additional SystemVerilog tests.
|
2012-03-20 19:28:35 -04:00 |
t_hierarchy_identifier.pl
|
Tests: Add new tests from Iztok Jeras, bug446, bug450.
|
2012-03-07 20:00:09 -05:00 |
t_hierarchy_identifier.v
|
Tests: Added additional SystemVerilog tests.
|
2012-03-20 19:28:35 -04:00 |
t_if_deep.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_if_deep.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_init_concat.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_init_concat.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_initial_dlyass_bad.pl
|
Add INITIALDLY warning on initial assignments, bug478.
|
2012-04-26 20:40:13 -04:00 |
t_initial_dlyass.pl
|
Add INITIALDLY warning on initial assignments, bug478.
|
2012-04-26 20:40:13 -04:00 |
t_initial_dlyass.v
|
Add INITIALDLY warning on initial assignments, bug478.
|
2012-04-26 20:40:13 -04:00 |
t_initial_inc.vh
|
Tests: Rename includes to .vh extensions
|
2010-07-08 20:51:54 -04:00 |
t_initial.pl
|
Tests: Move old-style test_v's t_arith, etc to test_regress area
|
2010-01-09 21:19:30 -05:00 |
t_initial.v
|
Tests: Rename includes to .vh extensions
|
2010-07-08 20:51:54 -04:00 |
t_inst_array_bad.pl
|
On WIDTH warnings, show variable name causing error.
|
2009-07-09 17:39:24 -04:00 |
t_inst_array_bad.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_inst_array_inl0.pl
|
Add new tests to support for future commit
|
2009-10-22 22:25:24 -04:00 |
t_inst_array_inl1.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_inst_array_partial.pl
|
Fix array of instantiations with sub-range output, bug414.
|
2011-11-28 22:10:43 -05:00 |
t_inst_array_partial.v
|
Fix array of instantiations with sub-range output, bug414.
|
2011-11-28 22:10:43 -05:00 |
t_inst_array.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_inst_ccall.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_inst_ccall.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_inst_missing_bad.pl
|
Add PINMISSING and PINNOCONNECT lint checks.
|
2012-04-26 18:46:44 -04:00 |
t_inst_missing_bad.v
|
Add PINMISSING and PINNOCONNECT lint checks.
|
2012-04-26 18:46:44 -04:00 |
t_inst_mnpipe.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_inst_mnpipe.v
|
Support "package" and $unit.
|
2009-11-07 21:05:02 -05:00 |
t_inst_notunsized.pl
|
Fix cell port connection to unsized integer causing false width warning.
|
2009-10-09 22:55:37 -04:00 |
t_inst_notunsized.v
|
Fix cell port connection to unsized integer causing false width warning.
|
2009-10-09 22:55:37 -04:00 |
t_inst_overwide_bad.pl
|
On WIDTH warnings, show variable name causing error.
|
2009-07-09 17:39:24 -04:00 |
t_inst_overwide.pl
|
Internals: Commentary and new select tests for future merge-in. No functional change.
|
2009-10-15 21:47:15 -04:00 |
t_inst_overwide.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_inst_recurse_bad.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_inst_recurse_bad.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_inst_signed.pl
|
Tests: New t_inst_signed test
|
2012-05-16 20:21:02 -04:00 |
t_inst_signed.v
|
Tests: New t_inst_signed test
|
2012-05-16 20:21:02 -04:00 |
t_inst_sv.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_inst_sv.v
|
Ignore SystemVerilog timeunit and timeprecision
|
2008-10-14 14:49:54 -04:00 |
t_inst_tree_inl0_pub0.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_inst_tree_inl0_pub1.pl
|
Tests: file_grep prints failing expected value when possible.
|
2012-05-11 18:24:49 -04:00 |
t_inst_tree_inl1_pub0.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_inst_tree_inl1_pub1.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_inst_tree.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_inst_v2k_sub.vi
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_inst_v2k.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_inst_v2k.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_inst_wideconst.pl
|
Tests: Support atsim and cleanup verilator-only tests
|
2010-03-18 12:03:08 -04:00 |
t_inst_wideconst.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_interface.pl
|
Tests: Add t_interface, bug481. Merge from JERAS/test_sv.
|
2012-04-08 18:13:56 -04:00 |
t_interface.v
|
Tests: Add t_interface, bug481. Merge from JERAS/test_sv.
|
2012-04-08 18:13:56 -04:00 |
t_leak.cpp
|
Add Emacs indentation line. No functional change
|
2012-04-12 21:08:20 -04:00 |
t_leak.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_leak.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_lint_blksync_bad.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_lint_blksync_bad.v
|
Fix DLYSYNC with for loop variables
|
2010-12-31 20:18:21 -05:00 |
t_lint_blksync_loop.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_lint_blksync_loop.v
|
Fix BLKSEQ warnings on variables declared inside always.
|
2012-01-19 21:15:21 -05:00 |
t_lint_block_redecl_bad.pl
|
Fix linking suppressing duplicate var and block name errors
|
2012-04-19 20:51:21 -04:00 |
t_lint_block_redecl_bad.v
|
Fix linking suppressing duplicate var and block name errors
|
2012-04-19 20:51:21 -04:00 |
t_lint_declfilename_bad.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_lint_declfilename.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_lint_declfilename.v
|
With --Wall, add DECLFILENAME warning on modules not matching filename.
|
2010-12-25 16:31:22 -05:00 |
t_lint_defparam_bad.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_lint_defparam.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_lint_defparam.v
|
Add -Wwarn-style, -Wno-style, and DEFPARAM warnings
|
2010-12-25 15:13:56 -05:00 |
t_lint_ifdepth_bad.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_lint_ifdepth_bad.v
|
With --Wall, add IFDEPTH warning on deep if statements.
|
2010-12-26 09:31:09 -05:00 |
t_lint_implicit_bad.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_lint_implicit_def_bad.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_lint_implicit_def_bad.v
|
Support "`default_nettype none|wire".
|
2010-02-23 09:27:16 -05:00 |
t_lint_implicit_port.pl
|
Tests: Support atsim and cleanup verilator-only tests
|
2010-03-18 12:03:08 -04:00 |
t_lint_implicit_port.v
|
IMPORTANT: Rewrite tristate handling, tri0, tri1, etc.
|
2012-04-21 21:45:28 -04:00 |
t_lint_implicit.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_lint_implicit.v
|
Fix signals in a concatenation on the LHS aren't created implicitly, bug206
|
2010-01-19 19:35:05 -05:00 |
t_lint_incabspath_bad.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_lint_incabspath.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_lint_incabspath.v
|
With --Wall, add INCABSPATH warning on `include with absolute paths.
|
2010-12-25 15:50:07 -05:00 |
t_lint_inherit.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_lint_inherit.v
|
Make test_regress now places each test result in unique obj_dir
|
2008-11-24 21:38:45 -05:00 |
t_lint_multidriven_bad.pl
|
Internals: Add warnMore() for all continued messages.
|
2012-05-21 21:24:17 -04:00 |
t_lint_multidriven_bad.v
|
Fix memory delayed assignments from multiple clock domains.
|
2012-01-26 08:10:50 -05:00 |
t_lint_once_bad.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_lint_once_bad.v
|
Throw UNUSED/UNDRIVEN only once per net in a parametrized module.
|
2011-01-18 21:28:51 -05:00 |
t_lint_only.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_lint_only.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_lint_pindup_bad.pl
|
Fix duplicate warnings/errors, bug516.
|
2012-05-21 21:31:52 -04:00 |
t_lint_pindup_bad.v
|
Report errors on empty pins, bug321
|
2011-01-29 18:00:48 -05:00 |
t_lint_realcvt_bad.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_lint_realcvt_bad.v
|
Support 'real' numbers and related functions.
|
2011-07-24 15:01:51 -04:00 |
t_lint_repeat_bad.pl
|
Fix duplicate warnings/errors, bug516.
|
2012-05-21 21:31:52 -04:00 |
t_lint_repeat_bad.v
|
Fix duplicate warnings/errors, bug516.
|
2012-05-21 21:31:52 -04:00 |
t_lint_restore_bad.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_lint_restore_bad.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_lint_setout_bad_noinl.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_lint_setout_bad.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_lint_setout_bad.v
|
Fix error on constants connected to outputs, bug323.
|
2011-01-31 07:05:04 -05:00 |
t_lint_syncasyncnet_bad.pl
|
Internals: Add warnMore() for all continued messages.
|
2012-05-21 21:24:17 -04:00 |
t_lint_syncasyncnet_bad.v
|
Add SYNCASYNCNET
|
2010-12-31 07:51:14 -05:00 |
t_lint_unused_bad.pl
|
Fix error when using UDPs without --bbox-unsup
|
2012-02-20 09:02:59 -05:00 |
t_lint_unused_bad.v
|
Fix false UNUSED warning on file system calls.
|
2012-06-03 08:20:12 -04:00 |
t_lint_unused.pl
|
Fix false UNUSED warning on file system calls.
|
2012-06-03 08:20:12 -04:00 |
t_lint_unused.v
|
Fix false UNUSED warning on file system calls.
|
2012-06-03 08:20:12 -04:00 |
t_lint_width_bad.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_lint_width_bad.v
|
On WIDTH warnings, show variable name causing error. - Missing files
|
2009-07-09 21:45:12 -04:00 |
t_lint_width.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_lint_width.v
|
Suppress WIDTH warnings when adding/subtracting 1'b1.
|
2010-12-02 14:00:43 -05:00 |
t_math_arith.pl
|
Tests: Move old-style test_v's t_arith, etc to test_regress area
|
2010-01-09 21:19:30 -05:00 |
t_math_arith.v
|
Tests: Support atsim and cleanup verilator-only tests
|
2010-03-18 12:03:08 -04:00 |
t_math_clog2.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_math_clog2.v
|
Fix $clog2 calculation error with powers-of-2, bug81.
|
2009-05-01 22:18:32 -04:00 |
t_math_cmp.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_math_cmp.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_math_concat0.pl
|
Support zero-width constants in concatenations.
|
2009-06-30 11:54:07 -04:00 |
t_math_concat0.v
|
Support zero-width constants in concatenations.
|
2009-06-30 11:54:07 -04:00 |
t_math_concat64.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_math_concat64.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_math_concat.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_math_concat.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_math_cond_huge.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_math_cond_huge.v
|
Fix stack overflow on large ? : trees.
|
2008-08-20 15:59:10 -04:00 |
t_math_const.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_math_const.v
|
Support ++,--,+= etc as standalone statements.
|
2010-12-07 20:18:47 -05:00 |
t_math_div.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_math_div.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_math_divw.pl
|
Support division and modulus of > 64 bit vectors.
|
2009-10-26 20:12:09 -04:00 |
t_math_divw.v
|
Support division and modulus of > 64 bit vectors.
|
2009-10-26 20:12:09 -04:00 |
t_math_eq.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_math_eq.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_math_equal.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_math_equal.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_math_imm2.cpp
|
Add Emacs indentation line. No functional change
|
2012-04-12 21:08:20 -04:00 |
t_math_imm2.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_math_imm2.v
|
Make test_regress now places each test result in unique obj_dir
|
2008-11-24 21:38:45 -05:00 |
t_math_imm.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_math_imm.v
|
Make test_regress now places each test result in unique obj_dir
|
2008-11-24 21:38:45 -05:00 |
t_math_msvc_64.pl
|
Tests: Add t_math_msvc_64, from bug214
|
2010-01-27 23:51:11 -05:00 |
t_math_msvc_64.v
|
Tests: Add t_math_msvc_64, from bug214
|
2010-01-27 23:51:11 -05:00 |
t_math_mul.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_math_mul.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_math_pow.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_math_pow.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_math_precedence.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_math_precedence.v
|
Fix left associativity for ?: operators.
|
2009-02-07 20:54:09 -05:00 |
t_math_real.pl
|
Support 'real' numbers and related functions.
|
2011-07-24 15:01:51 -04:00 |
t_math_real.v
|
Fix internal error on integer casts, bug374.
|
2011-08-09 19:56:22 -04:00 |
t_math_repl.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_math_repl.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_math_reverse.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_math_reverse.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_math_shift.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_math_shift.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_math_shiftrs.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_math_shiftrs.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_math_signed2.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_math_signed2.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_math_signed_wire.pl
|
Fix signed extending biops with WIDTH warning off, bug511.
|
2012-05-16 22:18:52 -04:00 |
t_math_signed_wire.v
|
Fix signed extending biops with WIDTH warning off, bug511.
|
2012-05-16 22:18:52 -04:00 |
t_math_signed.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_math_signed.v
|
Test for bug349
|
2012-08-08 22:15:07 -04:00 |
t_math_strwidth.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_math_strwidth.v
|
Suppress width warnings between constant strings and wider vectors.
|
2008-09-22 19:36:08 -04:00 |
t_math_svl2.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_math_svl2.v
|
Define VERILATOR in addition to verilator
|
2010-01-14 19:24:48 -05:00 |
t_math_svl.pl
|
tests: Test $countones on other simulators
|
2011-11-28 09:48:01 -05:00 |
t_math_svl.v
|
tests: Test $countones on other simulators
|
2011-11-28 09:48:01 -05:00 |
t_math_swap.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_math_swap.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_math_synmul_mul.v
|
Add synmul test
|
2008-12-23 11:43:11 -05:00 |
t_math_synmul.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_math_synmul.v
|
Add synmul test
|
2008-12-23 11:43:11 -05:00 |
t_math_tri.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_math_tri.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_math_trig.pl
|
Support $ceil, $floor, etc.
|
2011-09-28 21:35:26 -04:00 |
t_math_trig.v
|
Support $ceil, $floor, etc.
|
2011-09-28 21:35:26 -04:00 |
t_math_vgen.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_math_vgen.v
|
Support division and modulus of > 64 bit vectors.
|
2009-10-26 20:12:09 -04:00 |
t_math_vliw.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_math_vliw.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_mem_fifo.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_mem_fifo.v
|
Tests: Support atsim and cleanup verilator-only tests
|
2010-03-18 12:03:08 -04:00 |
t_mem_file.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_mem_file.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_mem_first.pl
|
Tests: Move old-style test_v's t_arith, etc to test_regress area
|
2010-01-09 21:19:30 -05:00 |
t_mem_first.v
|
Tests: Support atsim and cleanup verilator-only tests
|
2010-03-18 12:03:08 -04:00 |
t_mem_func.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_mem_func.v
|
Fix arrayed variables under function not compiling, bug44.
|
2008-11-19 09:43:03 -05:00 |
t_mem_iforder.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_mem_iforder.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_mem_multi_io2_cc.pl
|
Support arrayed SystemC I/O pins.
|
2012-02-01 20:20:43 -05:00 |
t_mem_multi_io2_sc.pl
|
Support arrayed SystemC I/O pins.
|
2012-02-01 20:20:43 -05:00 |
t_mem_multi_io2.cpp
|
Add Emacs indentation line. No functional change
|
2012-04-12 21:08:20 -04:00 |
t_mem_multi_io2.v
|
Support arrayed SystemC I/O pins.
|
2012-02-01 20:20:43 -05:00 |
t_mem_multi_io.pl
|
Support multi-dimensional arrays as inputs/outputs
|
2010-01-19 13:18:40 -05:00 |
t_mem_multi_io.v
|
Support multi-dimensional arrays as inputs/outputs
|
2010-01-19 13:18:40 -05:00 |
t_mem_multi_ref_bad.pl
|
Support assignments of multidimensional slices, bug170
|
2010-01-19 10:52:11 -05:00 |
t_mem_multi_ref_bad.v
|
Support little endian bit vectors ("reg [0:2] x;").
|
2009-10-25 16:53:55 -04:00 |
t_mem_multidim_Ox.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_mem_multidim_trace.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_mem_multidim.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_mem_multidim.v
|
Check c style array
|
2012-01-25 19:23:41 -05:00 |
t_mem_multiwire.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_mem_multiwire.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_mem_packed_assign_bad.pl
|
Include: Allow external progs to test command args
|
2012-08-14 20:37:15 -04:00 |
t_mem_packed_assign_bad.v
|
Tests: Rename t_mem_packed_assign_bad since fails
|
2012-03-20 20:55:16 -04:00 |
t_mem_packed_bad.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_mem_packed_bad.v
|
Improve error handling on slices of arrays, bug226.
|
2010-03-20 21:29:16 -04:00 |
t_mem_packed.pl
|
Support "reg [1:0][1:0][1:0]", bug176.
|
2009-11-05 09:57:23 -05:00 |
t_mem_packed.v
|
Fix bit reductions on multi-packed dimensions, bug227/patch0004.
|
2010-04-22 09:40:53 -04:00 |
t_mem_shift.pl
|
Tests: file_grep prints failing expected value when possible.
|
2012-05-11 18:24:49 -04:00 |
t_mem_shift.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_mem_slice_bad.pl
|
Fix duplicate warnings/errors, bug516.
|
2012-05-21 21:31:52 -04:00 |
t_mem_slice_bad.v
|
Support assignments of multidimensional slices, bug170
|
2010-01-19 10:52:11 -05:00 |
t_mem_slice_conc_bad.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_mem_slice_conc_bad.v
|
Fix out of memory on slice syntax error, bug354.
|
2011-05-21 08:19:33 -04:00 |
t_mem_slice.pl
|
Support assignments of multidimensional slices, bug170
|
2010-01-19 10:52:11 -05:00 |
t_mem_slice.v
|
Fix conditional assignments of slices where conditional is an array, bug215
|
2010-02-01 06:52:48 -05:00 |
t_mem_slot.cpp
|
Add Emacs indentation line. No functional change
|
2012-04-12 21:08:20 -04:00 |
t_mem_slot.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_mem_slot.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_mem_twoedge.pl
|
Fix memory delayed assignments from multiple clock domains.
|
2012-01-26 08:10:50 -05:00 |
t_mem_twoedge.v
|
Fix memory delayed assignments from multiple clock domains.
|
2012-01-26 08:10:50 -05:00 |
t_mem.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_mem.v
|
Support "reg x [3][2]".
|
2009-11-05 19:26:44 -05:00 |
t_metacmt_onoff.pl
|
Fix lint_off/lint_on pairs on same line as warning
|
2010-01-09 12:33:01 -05:00 |
t_metacmt_onoff.v
|
Fix lint_off/lint_on pairs on same line as warning
|
2010-01-09 12:33:01 -05:00 |
t_mod_dup_bad.pl
|
Tests: Support atsim and cleanup verilator-only tests
|
2010-03-18 12:03:08 -04:00 |
t_mod_dup_bad.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_mod_dup_ign.pl
|
Tests: Support atsim and cleanup verilator-only tests
|
2010-03-18 12:03:08 -04:00 |
t_mod_dup_ign.v
|
Make duplicate modules a MODDUP error that can be disabled
|
2010-01-07 20:25:54 -05:00 |
t_order_a.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_order_b.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_order_clkinst.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_order_clkinst.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_order_comboclkloop.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_order_comboclkloop.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_order_comboloop.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_order_comboloop.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_order_doubleloop.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_order_doubleloop.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_order_loop_bad.pl
|
Fix loop error message to report line, bug513.
|
2012-05-16 19:31:24 -04:00 |
t_order_loop_bad.v
|
Fix loop error message to report line, bug513.
|
2012-05-16 19:31:24 -04:00 |
t_order_multialways.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_order_multialways.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_order_wireloop.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_order_wireloop.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_order.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_order.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_package_abs.pl
|
Fix imports causing symbol table error, bug490.
|
2012-04-24 21:21:26 -04:00 |
t_package_abs.v
|
tests; check package to package refs
|
2012-08-07 20:37:28 -04:00 |
t_package_ddecl.pl
|
Fix previous mis-commit
|
2012-08-02 07:00:12 -04:00 |
t_package_ddecl.v
|
Commentary
|
2012-07-31 19:23:19 -04:00 |
t_package_dimport.pl
|
Fix imports under multiple instantiated cells, bug542.
|
2012-08-08 21:59:17 -04:00 |
t_package_dimport.v
|
Fix imports under multiple instantiated cells, bug542.
|
2012-08-08 21:59:17 -04:00 |
t_package.pl
|
Support "package" and $unit.
|
2009-11-07 21:05:02 -05:00 |
t_package.v
|
Support "import".
|
2009-11-09 19:07:59 -05:00 |
t_param_ceil.pl
|
Fix some constant parameter functions causing crash, bug253.
|
2010-05-25 19:37:45 -04:00 |
t_param_ceil.v
|
Fix some constant parameter functions causing crash, bug253.
|
2010-05-25 19:37:45 -04:00 |
t_param_circ_bad.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_param_circ_bad.v
|
Add error on circular parameter definitions, bug329
|
2011-03-07 20:44:19 -05:00 |
t_param_concat_bad.pl
|
Fix cell port connection to unsized integer causing false width warning.
|
2009-10-09 22:55:37 -04:00 |
t_param_concat.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_param_concat.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_param_ddeep_width.pl
|
Fix double-deep parameter cell WIDTHs, bug541.
|
2012-08-02 07:02:57 -04:00 |
t_param_ddeep_width.v
|
Fix double-deep parameter cell WIDTHs, bug541.
|
2012-08-02 07:02:57 -04:00 |
t_param_long.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_param_long.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_param_named_2.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_param_named_2.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_param_named.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_param_named.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_param_no_parentheses.pl
|
Support optional cell parenthesis, bug179
|
2009-11-10 16:29:58 -05:00 |
t_param_no_parentheses.v
|
Support optional cell parenthesis, bug179
|
2009-11-10 16:29:58 -05:00 |
t_param_repl.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_param_repl.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_param_sel_range_bad.pl
|
Add SELRANGE as warning instead of error, bug477.
|
2012-04-04 21:55:20 -04:00 |
t_param_sel_range.pl
|
Add SELRANGE as warning instead of error, bug477.
|
2012-04-04 21:55:20 -04:00 |
t_param_sel_range.v
|
Add SELRANGE as warning instead of error, bug477.
|
2012-04-04 21:55:20 -04:00 |
t_param_sel.pl
|
Add new tests to support for future commit
|
2009-10-22 22:25:24 -04:00 |
t_param_sel.v
|
Internals: Commentary and new select tests for future merge-in. No functional change.
|
2009-10-15 21:47:15 -04:00 |
t_param_type.pl
|
Tests: Add t_interface, bug481. Merge from JERAS/test_sv.
|
2012-04-08 18:13:56 -04:00 |
t_param_type.v
|
Tests: Add t_param_type, bug480. Merge from JERAS/test_sv.
|
2012-04-08 17:59:46 -04:00 |
t_param_value.pl
|
Tests: New param value test
|
2012-03-03 15:44:56 -05:00 |
t_param_value.v
|
Fix inheriting signed type across untyped parameters.
|
2012-03-03 21:03:34 -05:00 |
t_param_while.pl
|
Fix parameters not supported in constant functions, bug474.
|
2012-05-03 21:59:47 -04:00 |
t_param_while.v
|
Fix parameters not supported in constant functions, bug474.
|
2012-05-03 21:59:47 -04:00 |
t_param.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_param.v
|
Explicitly size all parameters, even if not used for module cells
|
2009-07-16 14:49:34 -04:00 |
t_pipe_exit_bad.pf
|
Add experimental --pipe-filter to filter all Verilog input.
|
2010-01-20 07:15:51 -05:00 |
t_pipe_exit_bad.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_pipe_filter_inc.vh
|
Tests: Rename includes to .vh extensions
|
2010-07-08 20:51:54 -04:00 |
t_pipe_filter.out
|
Fix block comment not separating identifiers, bug311.
|
2011-01-11 18:46:21 -05:00 |
t_pipe_filter.pf
|
Add experimental --pipe-filter to filter all Verilog input.
|
2010-01-20 07:15:51 -05:00 |
t_pipe_filter.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_pipe_filter.v
|
Tests: Rename includes to .vh extensions
|
2010-07-08 20:51:54 -04:00 |
t_pp_circdef_bad.pl
|
Fix hang on recursive substitution `defines, bug443.
|
2012-02-23 21:54:37 -05:00 |
t_pp_circdef_bad.v
|
Fix hang on recursive substitution `defines, bug443.
|
2012-02-23 21:54:37 -05:00 |
t_pp_display.pl
|
Update preprocessor to match next Verilog-Perl version.
|
2010-07-10 18:30:16 -04:00 |
t_pp_display.v
|
Update preprocessor to match next Verilog-Perl version.
|
2010-07-10 18:30:16 -04:00 |
t_pp_dupdef_bad.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_pp_dupdef.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_pp_dupdef.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_pp_lib_inc.vh
|
Tests: Rename includes to .vh extensions
|
2010-07-08 20:51:54 -04:00 |
t_pp_lib_library.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_pp_lib.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_pp_lib.v
|
Tests: Rename includes to .vh extensions
|
2010-07-08 20:51:54 -04:00 |
t_pp_misdef_bad.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_pp_misdef_bad.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_pp_pragmas.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_pp_pragmas.v
|
Tests: default_nettype is ok
|
2012-03-24 15:15:32 -04:00 |
t_pp_underline_bad.pl
|
Report errors when extra underscores used in meta-comments.
|
2010-03-24 22:08:59 -04:00 |
t_pp_underline_bad.v
|
Report errors when extra underscores used in meta-comments.
|
2010-03-24 22:08:59 -04:00 |
t_preproc_def09.out
|
Fix block comment not separating identifiers, bug311.
|
2011-01-11 18:46:21 -05:00 |
t_preproc_def09.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_preproc_def09.v
|
Support 1800-2009 defines with default arguments.
|
2009-12-24 11:33:39 -05:00 |
t_preproc_dos.pl
|
Driver: Fix preproc running in parallel random fails
|
2009-06-12 09:50:27 -04:00 |
t_preproc_ifdef.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_preproc_ifdef.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_preproc_inc2.vh
|
Tests: Rename includes to .vh extensions
|
2010-07-08 20:51:54 -04:00 |
t_preproc_inc3.vh
|
Tests: Rename includes to .vh extensions
|
2010-07-08 20:51:54 -04:00 |
t_preproc_inc4.vh
|
Copyright year update
|
2011-01-01 18:21:19 -05:00 |
t_preproc_inc_bad.pl
|
Fix wrong filename on include file errors, bug289
|
2010-09-28 09:33:59 -04:00 |
t_preproc_inc_bad.v
|
Fix wrong filename on include file errors, bug289
|
2010-09-28 09:33:59 -04:00 |
t_preproc_inc_inc_bad.vh
|
Fix wrong filename on include file errors, bug289
|
2010-09-28 09:33:59 -04:00 |
t_preproc_kwd.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_preproc_kwd.v
|
With "--language VAMS" support a touch of Verilog AMS.
|
2011-11-25 00:49:38 -05:00 |
t_preproc_psl_off.out
|
Fix block comment not separating identifiers, bug311.
|
2011-01-11 18:46:21 -05:00 |
t_preproc_psl_off.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_preproc_psl_on.out
|
Fix block comment not separating identifiers, bug311.
|
2011-01-11 18:46:21 -05:00 |
t_preproc_psl_on.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_preproc_psl.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_preproc_undefineall.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_preproc_undefineall.v
|
Support `undefineall
|
2009-12-20 22:26:48 -05:00 |
t_preproc.out
|
Fix expansion of back-slashed escaped macros, bug441.
|
2012-02-25 21:31:36 -05:00 |
t_preproc.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_preproc.v
|
Fix expansion of back-slashed escaped macros, bug441.
|
2012-02-25 21:31:36 -05:00 |
t_program.pl
|
Support "program".
|
2009-11-05 19:09:45 -05:00 |
t_program.v
|
Support "program".
|
2009-11-05 19:09:45 -05:00 |
t_psl_basic_cover.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_psl_basic_off.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_psl_basic.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_psl_basic.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_repeat.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_repeat.v
|
Support "break", "continue", "return".
|
2010-02-14 10:01:21 -05:00 |
t_rnd.pl
|
Tests: Move old-style test_v's t_arith, etc to test_regress area
|
2010-01-09 21:19:30 -05:00 |
t_rnd.v
|
Tests: Support atsim and cleanup verilator-only tests
|
2010-03-18 12:03:08 -04:00 |
t_select_bad_msb.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_select_bad_msb.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_select_bad_range2.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_select_bad_range2.v
|
Fix 'bad select range' warning missing some cases, bug43.
|
2008-11-12 20:54:58 -05:00 |
t_select_bad_range.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_select_bad_range.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_select_bad_tri.pl
|
Fix cell port connection to unsized integer causing false width warning.
|
2009-10-09 22:55:37 -04:00 |
t_select_bad_tri.v
|
Detect selection index unknown instead of internal erroring
|
2009-09-16 20:52:52 -04:00 |
t_select_index.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_select_index.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_select_lhs_oob2.pl
|
Part of earlier commit; Fix execute permission
|
2009-10-12 20:53:21 -04:00 |
t_select_lhs_oob2.v
|
Fix writing to out-of-bounds arrays writing element 0.
|
2009-10-08 20:42:45 -04:00 |
t_select_lhs_oob.pl
|
Add new tests to support for future commit
|
2009-10-22 22:25:24 -04:00 |
t_select_lhs_oob.v
|
Fix writing to out-of-bounds arrays writing element 0.
|
2009-10-08 20:42:45 -04:00 |
t_select_little.pl
|
Support little endian bit vectors ("reg [0:2] x;").
|
2009-10-25 16:53:55 -04:00 |
t_select_little.v
|
Support little endian bit vectors ("reg [0:2] x;").
|
2009-10-25 16:53:55 -04:00 |
t_select_loop.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_select_loop.v
|
Rename t_bitsel_loop to t_select_loop to match other tests
|
2008-09-18 08:05:38 -04:00 |
t_select_negative.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_select_negative.v
|
Support negative bit indexes.
|
2008-09-24 07:43:08 -04:00 |
t_select_param.pl
|
Add new tests to support for future commit
|
2009-10-22 22:25:24 -04:00 |
t_select_param.v
|
Internals: Commentary and new select tests for future merge-in. No functional change.
|
2009-10-15 21:47:15 -04:00 |
t_select_plus.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_select_plus.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_select_plusloop.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_select_plusloop.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_select_runtime_range.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_select_runtime_range.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_select_set.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_select_set.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_struct_init.pl
|
MAJOR: Support packed structures and unions, bug181.
|
2012-07-29 10:16:20 -04:00 |
t_struct_init.v
|
Support '{} assignment pattern on structures, part of bug355.
|
2012-08-12 15:15:21 -04:00 |
t_struct_notfound_bad.pl
|
MAJOR: Support packed structures and unions, bug181.
|
2012-07-29 10:16:20 -04:00 |
t_struct_notfound_bad.v
|
MAJOR: Support packed structures and unions, bug181.
|
2012-07-29 10:16:20 -04:00 |
t_struct_packed_sysfunct.pl
|
MAJOR: Support packed structures and unions, bug181.
|
2012-07-29 10:16:20 -04:00 |
t_struct_packed_sysfunct.v
|
Tests: Rename t_structure
|
2012-07-27 21:14:13 -04:00 |
t_struct_packed_value_list.pl
|
Support '{} assignment pattern on structures, part of bug355.
|
2012-08-12 15:15:21 -04:00 |
t_struct_packed_value_list.v
|
Support '{} assignment pattern on structures, part of bug355.
|
2012-08-12 15:15:21 -04:00 |
t_struct_packed_write_read.pl
|
MAJOR: Support packed structures and unions, bug181.
|
2012-07-29 10:16:20 -04:00 |
t_struct_packed_write_read.v
|
Tests: Misc fixes from struct branch.
|
2012-07-28 14:05:30 -04:00 |
t_struct_port.pl
|
MAJOR: Support packed structures and unions, bug181.
|
2012-07-29 10:16:20 -04:00 |
t_struct_port.v
|
Tests: Misc fixes from struct branch.
|
2012-07-28 14:05:30 -04:00 |
t_struct_unpacked_bad.pl
|
MAJOR: Support packed structures and unions, bug181.
|
2012-07-29 10:16:20 -04:00 |
t_struct_unpacked_bad.v
|
MAJOR: Support packed structures and unions, bug181.
|
2012-07-29 10:16:20 -04:00 |
t_sv_bus_mux_demux.pl
|
tests: Add unsupported as target type; additional tests
|
2012-03-24 11:10:17 -04:00 |
t_sv_bus_mux_demux.v
|
Tests: Added additional SystemVerilog tests.
|
2012-03-20 19:28:35 -04:00 |
t_sv_conditional.pl
|
Tests: Add t_array_query, t_sv_conditional, bug473
|
2012-04-12 18:15:39 -04:00 |
t_sv_conditional.v
|
Tests: Add t_array_query, t_sv_conditional, bug473
|
2012-04-12 18:15:39 -04:00 |
t_sv_cpu.pl
|
Tests: Add t_sv_cpu, bug473. By Jeremy Bennett.
|
2012-05-16 18:38:01 -04:00 |
t_sv_cpu.v
|
Tests: Add t_sv_cpu, bug473. By Jeremy Bennett.
|
2012-05-16 18:38:01 -04:00 |
t_sv_enum_type_methods.pl
|
Tests: Add t_sv_enum_type_methods, bug515.
|
2012-05-21 18:39:45 -04:00 |
t_sv_enum_type_methods.v
|
Tests: Add t_sv_enum_type_methods, bug515.
|
2012-05-21 18:39:45 -04:00 |
t_sys_file_autoflush.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_sys_file_basic_input.dat
|
Add WIDTH warning to etc file descriptors.
|
2008-07-14 17:15:26 -04:00 |
t_sys_file_basic.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_sys_file_basic.v
|
Support with %g.
|
2011-11-20 01:01:02 -05:00 |
t_sys_file_scan_input.dat
|
Add WIDTH warning to etc file descriptors.
|
2008-07-14 17:15:26 -04:00 |
t_sys_file_scan.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_sys_file_scan.v
|
Portability fixes for gcc 4.6.3
|
2012-05-10 22:04:53 -04:00 |
t_sys_plusargs_bad.pl
|
Support $test$plusargs and $value$plusargs, but see the docs.
|
2009-11-19 17:04:21 -05:00 |
t_sys_plusargs_bad.v
|
Support $test$plusargs and $value$plusargs, but see the docs.
|
2009-11-19 17:04:21 -05:00 |
t_sys_plusargs.pl
|
Support $test$plusargs and $value$plusargs, but see the docs.
|
2009-11-19 17:04:21 -05:00 |
t_sys_plusargs.v
|
Support $test$plusargs and $value$plusargs, but see the docs.
|
2009-11-19 17:04:21 -05:00 |
t_sys_rand.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_sys_rand.v
|
Support .
|
2008-06-27 11:36:25 -04:00 |
t_sys_readmem_b_8.mem
|
Version bump
|
2009-06-23 14:09:38 -04:00 |
t_sys_readmem_b.mem
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_sys_readmem_bad_addr.mem
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_sys_readmem_bad_addr.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_sys_readmem_bad_addr.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_sys_readmem_bad_digit.mem
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_sys_readmem_bad_digit.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_sys_readmem_bad_digit.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_sys_readmem_bad_end.mem
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_sys_readmem_bad_end.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_sys_readmem_bad_end.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_sys_readmem_bad_notfound.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_sys_readmem_bad_notfound.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_sys_readmem_h.mem
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_sys_readmem.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_sys_readmem.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_sys_sformat_noopt.pl
|
Support and .
|
2009-11-23 21:24:55 -05:00 |
t_sys_sformat.pl
|
Support and .
|
2009-11-23 21:24:55 -05:00 |
t_sys_sformat.v
|
Support 'real' numbers and related functions.
|
2011-07-24 15:01:51 -04:00 |
t_sys_system.pl
|
Support .
|
2011-11-20 02:01:48 -05:00 |
t_sys_system.v
|
Support .
|
2011-11-20 02:01:48 -05:00 |
t_sys_time.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_sys_time.v
|
Add .
|
2008-07-14 13:16:05 -04:00 |
t_table_fsm.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_table_fsm.v
|
Fix wrong result for read of delayed FSM signal, bug46.
|
2008-12-30 17:11:25 -05:00 |
t_trace_ena_cc.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_trace_ena_sc.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_trace_ena_sp.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_trace_ena.v
|
Support 'real' numbers and related functions.
|
2011-07-24 15:01:51 -04:00 |
t_trace_off_cc.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_trace_off_sc.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_trace_off_sp.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_trace_public_func.cpp
|
Add Emacs indentation line. No functional change
|
2012-04-12 21:08:20 -04:00 |
t_trace_public_func.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_trace_public_sig.cpp
|
Add Emacs indentation line. No functional change
|
2012-04-12 21:08:20 -04:00 |
t_trace_public_sig.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_trace_public.out
|
Tests: Use top. instead of TOP. to match other sims
|
2009-12-05 09:58:09 -05:00 |
t_trace_public.v
|
Internals: Move array definitions to AstArrayDType instead of under AstVars.
|
2009-11-04 22:31:53 -05:00 |
t_tri_array_bufif.pl
|
Fix concatenates and vectored bufif1, bug326.
|
2011-02-23 21:21:59 -05:00 |
t_tri_array_bufif.v
|
Fix concatenates and vectored bufif1, bug326.
|
2011-02-23 21:21:59 -05:00 |
t_tri_dangle.pl
|
Fix internal error on non-inlined inout pins.
|
2011-02-07 19:15:58 -05:00 |
t_tri_dangle.v
|
Fix internal error on non-inlined inout pins.
|
2011-02-07 19:15:58 -05:00 |
t_tri_eqcase.pl
|
IMPORTANT: Rewrite tristate handling, tri0, tri1, etc.
|
2012-04-21 21:45:28 -04:00 |
t_tri_eqcase.v
|
IMPORTANT: Rewrite tristate handling, tri0, tri1, etc.
|
2012-04-21 21:45:28 -04:00 |
t_tri_gate_bufif0.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_tri_gate_bufif1.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_tri_gate_cond.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_tri_gate_nmos.pl
|
Support nmos and pmos, bug488.
|
2012-04-23 20:13:07 -04:00 |
t_tri_gate_notif0.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_tri_gate_notif1.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_tri_gate_pmos.pl
|
Support nmos and pmos, bug488.
|
2012-04-23 20:13:07 -04:00 |
t_tri_gate.cpp
|
Internals: Misc tristate merges. Pins renamed but... No functional change intended.
|
2012-05-07 23:43:19 -04:00 |
t_tri_gate.v
|
Support nmos and pmos, bug488.
|
2012-04-23 20:13:07 -04:00 |
t_tri_gen.pl
|
Fix generated inouts with duplicated modules, bug498.
|
2012-04-27 19:41:13 -04:00 |
t_tri_gen.v
|
Fix generated inouts with duplicated modules, bug498.
|
2012-04-27 19:41:13 -04:00 |
t_tri_graph.pl
|
Fix output with select hitting tristate, bug514.
|
2012-05-15 19:26:20 -04:00 |
t_tri_graph.v
|
Fix output with select hitting tristate, bug514.
|
2012-05-15 19:26:20 -04:00 |
t_tri_ifbegin.pl
|
Fix tristate bug512, broken with tristate commit.
|
2012-05-09 20:34:15 -04:00 |
t_tri_ifbegin.v
|
Fix tristate bug512, broken with tristate commit.
|
2012-05-09 20:34:15 -04:00 |
t_tri_inout2.pl
|
IMPORTANT: Rewrite tristate handling, tri0, tri1, etc.
|
2012-04-21 21:45:28 -04:00 |
t_tri_inout2.v
|
IMPORTANT: Rewrite tristate handling, tri0, tri1, etc.
|
2012-04-21 21:45:28 -04:00 |
t_tri_inout.cpp
|
Add Emacs indentation line. No functional change
|
2012-04-12 21:08:20 -04:00 |
t_tri_inout.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_tri_inout.v
|
IMPORTANT: Rewrite tristate handling, tri0, tri1, etc.
|
2012-04-21 21:45:28 -04:00 |
t_tri_pull2_bad.pl
|
IMPORTANT: Rewrite tristate handling, tri0, tri1, etc.
|
2012-04-21 21:45:28 -04:00 |
t_tri_pull2_bad.v
|
tests: Add unsupported as target type; additional tests
|
2012-03-24 11:10:17 -04:00 |
t_tri_pull01.pl
|
IMPORTANT: Rewrite tristate handling, tri0, tri1, etc.
|
2012-04-21 21:45:28 -04:00 |
t_tri_pull01.v
|
Fix 'output tri0' 2001 declaration; Var characteristics must be attributes
|
2012-04-24 07:45:02 -04:00 |
t_tri_pull_bad.pl
|
IMPORTANT: Rewrite tristate handling, tri0, tri1, etc.
|
2012-04-21 21:45:28 -04:00 |
t_tri_pull_bad.v
|
tests: Add unsupported as target type; additional tests
|
2012-03-24 11:10:17 -04:00 |
t_tri_pullup.cpp
|
Merge from Tristate branch, part 1 of 2. No functional change intended
|
2012-04-21 19:30:08 -04:00 |
t_tri_pullup.pl
|
Tests: Remove debug
|
2012-02-04 20:00:36 -05:00 |
t_tri_pullup.v
|
Tristate support; merge from branch.
|
2009-01-06 11:03:57 -05:00 |
t_tri_select_unsized.pl
|
Tristate: Major rework to support Z tieoffs, bug499, bug510.
|
2012-05-08 21:53:22 -04:00 |
t_tri_select_unsized.v
|
Tristate: Major rework to support Z tieoffs, bug499, bug510.
|
2012-05-08 21:53:22 -04:00 |
t_tri_select.cpp
|
Internals: Misc tristate merges. Pins renamed but... No functional change intended.
|
2012-05-07 23:43:19 -04:00 |
t_tri_select.pl
|
Tests: Remove debug
|
2012-02-04 20:00:36 -05:00 |
t_tri_select.v
|
Internals: Misc tristate merges. Pins renamed but... No functional change intended.
|
2012-05-07 23:43:19 -04:00 |
t_tri_unconn.pl
|
Fix tristate connection to unconnected input, bug494, bug495.
|
2012-04-26 21:11:48 -04:00 |
t_tri_unconn.v
|
Tristate: Major rework to support Z tieoffs, bug499, bug510.
|
2012-05-08 21:53:22 -04:00 |
t_tri_various.pl
|
IMPORTANT: Rewrite tristate handling, tri0, tri1, etc.
|
2012-04-21 21:45:28 -04:00 |
t_tri_various.v
|
Internals: Misc tristate merges. Pins renamed but... No functional change intended.
|
2012-05-07 23:43:19 -04:00 |
t_typedef_port.pl
|
Support typedef
|
2009-11-06 23:16:06 -05:00 |
t_typedef_port.v
|
Support "package" and $unit.
|
2009-11-07 21:05:02 -05:00 |
t_typedef_signed.pl
|
Fix signed array warning, bug456.
|
2012-03-12 20:29:00 -04:00 |
t_typedef_signed.v
|
Fix signed array warning, bug456.
|
2012-03-12 20:29:00 -04:00 |
t_typedef.pl
|
Support typedef
|
2009-11-06 23:16:06 -05:00 |
t_typedef.v
|
Support typedef
|
2009-11-06 23:16:06 -05:00 |
t_udp_bad.pl
|
Fix duplicate warnings/errors, bug516.
|
2012-05-21 21:31:52 -04:00 |
t_udp_lint.pl
|
Ignore gate delays in UDP cells
|
2010-01-07 22:44:30 -05:00 |
t_udp_noname.pl
|
Tests: Add t_udp_noname, bug468
|
2012-04-24 20:43:15 -04:00 |
t_udp_noname.v
|
Tests: Add t_udp_noname, bug468
|
2012-04-24 20:43:15 -04:00 |
t_udp.v
|
Ignore gate delays in UDP cells
|
2010-01-07 22:44:30 -05:00 |
t_unopt_array.pl
|
Fix change detections on arrays.
|
2012-04-09 20:17:51 -04:00 |
t_unopt_array.v
|
Fix change detections on arrays.
|
2012-04-09 20:17:51 -04:00 |
t_unopt_combo_bad.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_unopt_combo_isolate.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_unopt_combo.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_unopt_combo.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_unopt_converge_print_bad.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_unopt_converge_run_bad.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_unopt_converge_unopt_bad.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_unopt_converge.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_unroll_signed.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_unroll_signed.v
|
Support loop unrolling on width mismatches, bug 333
|
2011-03-12 07:45:04 -05:00 |
t_vams_basic.pl
|
With "--language VAMS" support a touch of Verilog AMS.
|
2011-11-25 00:49:38 -05:00 |
t_vams_basic.v
|
Support V-AMS wreal
|
2011-11-27 10:31:06 -05:00 |
t_var_bad_hide2.pl
|
Internals: Add warnMore() for all continued messages.
|
2012-05-21 21:24:17 -04:00 |
t_var_bad_hide2.v
|
Suppress VARHIDDEN on dpi import arguments.
|
2011-11-27 12:03:22 -05:00 |
t_var_bad_hide.pl
|
Avoid -wall
|
2010-12-26 15:26:04 -05:00 |
t_var_bad_hide.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_var_bad_sameas.pl
|
driver: Sort results. Merge from dot.
|
2012-07-19 21:02:36 -04:00 |
t_var_bad_sameas.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_var_bad_sv.pl
|
Improved warning when "do" used as identifier.
|
2009-09-07 15:54:12 -04:00 |
t_var_bad_sv.v
|
Improved warning when "do" used as identifier.
|
2009-09-07 15:54:12 -04:00 |
t_var_const_bad.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_var_const_bad.v
|
Support 'const' variables in limited cases; similar to enums.
|
2011-07-02 12:45:26 -04:00 |
t_var_const.pl
|
Support 'const' variables in limited cases; similar to enums.
|
2011-07-02 12:45:26 -04:00 |
t_var_const.v
|
Support 'const' variables in limited cases; similar to enums.
|
2011-07-02 12:45:26 -04:00 |
t_var_dotted_inl0.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_var_dotted_inl1.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_var_dotted_inl2.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_var_dotted.v
|
Tests: default_nettype is ok
|
2012-03-24 15:15:32 -04:00 |
t_var_escape.out
|
Tests: fix driver.pl not tracing time 0, bug536.
|
2012-07-24 18:48:51 -04:00 |
t_var_escape.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_var_escape.v
|
Fix VCD files showing internal flattened hierarchy, broke in 3.714.
|
2009-09-26 09:31:50 -04:00 |
t_var_in_assign_bad.pl
|
Add ASSIGNIN as suppressable error.
|
2011-10-25 18:57:49 -04:00 |
t_var_in_assign_bad.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_var_in_assign.pl
|
Add ASSIGNIN as suppressable error.
|
2011-10-25 18:57:49 -04:00 |
t_var_in_assign.v
|
Add ASSIGNIN as suppressable error.
|
2011-10-25 18:57:49 -04:00 |
t_var_init.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_var_init.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_var_life.pl
|
Tests: file_grep prints failing expected value when possible.
|
2012-05-11 18:24:49 -04:00 |
t_var_life.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_var_local.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_var_local.v
|
Fix initial values for local variables, bug210
|
2010-01-21 20:08:45 -05:00 |
t_var_nonamebegin.out
|
Tests: fix driver.pl not tracing time 0, bug536.
|
2012-07-24 18:48:51 -04:00 |
t_var_nonamebegin.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_var_nonamebegin.v
|
Fix core dump with SystemVerilog var declarations under unnamed begins.
|
2009-10-11 20:50:31 -04:00 |
t_var_notfound_bad.pl
|
Internals: Move variable referencing into LinkDot in support of structs.
|
2012-07-24 06:26:35 -04:00 |
t_var_notfound_bad.v
|
Internals: Move variable referencing into LinkDot in support of structs.
|
2012-07-24 06:26:35 -04:00 |
t_var_outoforder.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_var_outoforder.v
|
Convert repository to git from svn.
|
2008-06-09 21:25:10 -04:00 |
t_var_pins_cc.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_var_pins_sc1.pl
|
Add sc_bv attribute to force bit vectors, bug402.
|
2011-10-26 08:57:27 -04:00 |
t_var_pins_sc2.pl
|
Add sc_bv attribute to force bit vectors, bug402.
|
2011-10-26 08:57:27 -04:00 |
t_var_pins_sc32.pl
|
Add sc_bv attribute to force bit vectors, bug402.
|
2011-10-26 08:57:27 -04:00 |
t_var_pins_sc64.pl
|
Add sc_bv attribute to force bit vectors, bug402.
|
2011-10-26 08:57:27 -04:00 |
t_var_pins_scui.pl
|
Add sc_bv attribute to force bit vectors, bug402.
|
2011-10-26 08:57:27 -04:00 |
t_var_pinsizes.cpp
|
Add Emacs indentation line. No functional change
|
2012-04-12 21:08:20 -04:00 |
t_var_pinsizes.v
|
Add sc_bv attribute to force bit vectors, bug402.
|
2011-10-26 08:57:27 -04:00 |
t_var_port_bad.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_var_port_bad.v
|
Report error if port declaration is missing; bug32.
|
2008-09-23 09:35:00 -04:00 |
t_var_rsvd_bad.pl
|
Tests: Add t_var_rsvd_port test
|
2010-01-20 18:29:58 -05:00 |
t_var_rsvd_port.pl
|
Tests: Add t_var_rsvd_port test
|
2010-01-20 18:29:58 -05:00 |
t_var_rsvd_port.v
|
Tests: Add t_var_rsvd_port test
|
2010-01-20 18:29:58 -05:00 |
t_var_rsvd.pl
|
Add test forgot to add earlier
|
2009-09-07 15:56:20 -04:00 |
t_var_rsvd.v
|
Allow 'global' reserved identifier in 1800-2009 when possible
|
2010-01-22 19:08:20 -05:00 |
t_var_set_link.pl
|
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2009-05-04 17:07:57 -04:00 |
t_var_set_link.v
|
Fix internal error on "output x; reg x = y;"
|
2008-12-30 14:34:01 -05:00 |
t_var_tieout.pl
|
Fix segfault on SystemVerilog "output wire foo=0", bug291.
|
2010-10-04 07:48:09 -04:00 |
t_var_tieout.v
|
Fix segfault on SystemVerilog "output wire foo=0", bug291.
|
2010-10-04 07:48:09 -04:00 |
t_var_types_bad.pl
|
Tests: Bit extraction from non-logic types
|
2012-03-04 17:17:57 -05:00 |
t_var_types_bad.v
|
Tests: Bit extraction from non-logic types
|
2012-03-04 17:17:57 -05:00 |
t_var_types.pl
|
Fix initialization of 2 state vars to zero
|
2009-11-23 19:08:25 -05:00 |
t_var_types.v
|
Tests
|
2012-03-06 20:12:47 -05:00 |
t_vlt_warn.pl
|
tests: use verilator_flags and skip more widely
|
2011-10-12 23:03:53 -04:00 |
t_vlt_warn.v
|
Vlt lint off now has optional msgname
|
2010-12-30 06:58:02 -05:00 |
t_vlt_warn.vlt
|
Vlt lint off now has optional msgname
|
2010-12-30 06:58:02 -05:00 |
t_vpi_var.cpp
|
Add Emacs indentation line. No functional change
|
2012-04-12 21:08:20 -04:00 |
t_vpi_var.pl
|
Add limited support for VPI access to public signals, see docs.
|
2010-12-25 14:39:41 -05:00 |
t_vpi_var.v
|
Fix vpi_register_cb using bad s_cb_data, bug370.
|
2011-07-27 13:03:49 -04:00 |
t_xml_first.pl
|
Tests: Less sensitivity to XML change
|
2012-04-29 08:23:24 -04:00 |
t_xml_first.v
|
Add very experimental --xml option
|
2012-03-20 16:13:10 -04:00 |