Wilson Snyder
e01d7fcefc
Commentary: fewer chapters
2010-02-01 21:35:40 -05:00
Wilson Snyder
aec04b07e0
Commentary: sort flags better
2010-02-01 21:12:00 -05:00
Wilson Snyder
7fd6ddc25b
Add -o option to specify executable name
2010-01-30 09:42:44 -05:00
Wilson Snyder
c49e0ac5c8
Add -CFLAGS, -LDFLAGS, <file>.a, <file>.o, and <file>.so options.
2010-01-28 19:33:02 -05:00
Wilson Snyder
bf435cae7c
Fix tracing issues and commentary
2010-01-25 09:48:35 -05:00
Wilson Snyder
11e702c430
SystemPerl is no longer required for tracing.
...
Applications must use VerilatedVcdC class in place of SpTraceVcdC.
2010-01-24 18:37:01 -05:00
Wilson Snyder
c017f2e7c4
Commentary
2010-01-22 19:14:32 -05:00
Wilson Snyder
c695af31b8
Add experimental config files to filter warnings outside of the source.
2010-01-21 06:11:30 -05:00
Wilson Snyder
6196cf09ff
Add experimental --pipe-filter to filter all Verilog input.
2010-01-20 07:15:51 -05:00
Wilson Snyder
d182ecb2d3
Support spaces in verilator flags
2010-01-18 20:37:20 -05:00
Wilson Snyder
72b596efb3
DPI $display like sformat metacomment and $sformatf
2010-01-17 19:13:44 -05:00
Wilson Snyder
788f69a8c9
DPI: Support strings in DPI Imports
2010-01-17 15:10:37 -05:00
Wilson Snyder
d46074e0ad
CDC: --cdc now implies early exit, to allow eventual set_logic_0/1 on sigs
2010-01-16 20:14:52 -05:00
Wilson Snyder
16926edf18
Define VERILATOR in addition to verilator
2010-01-14 19:24:48 -05:00
Wilson Snyder
a94f5ba200
--bbox-unsup now ignores cmos and tran gate primitives
2010-01-07 22:08:48 -05:00
Wilson Snyder
0c4e40102f
Make duplicate modules a MODDUP error that can be disabled
2010-01-07 20:25:54 -05:00
Wilson Snyder
27e8126b18
Cdc commentary
2010-01-07 18:51:52 -05:00
Wilson Snyder
bf860b21d7
Clock domain crossing checks
2010-01-07 16:41:19 -05:00
Wilson Snyder
8fbc87be46
Support +notimingchecks
2010-01-06 12:38:58 -05:00
Wilson Snyder
729dfdfed7
Copyright year update
2010-01-05 21:15:06 -05:00
Wilson Snyder
ef4e52a60e
Pedantic no longer disables __LINE__.
2009-12-24 11:40:56 -05:00
Wilson Snyder
f6758c397d
Commentary
2009-12-20 09:39:51 -05:00
Wilson Snyder
ef3ed6e338
Support DPI exports
2009-12-20 08:27:00 -05:00
Wilson Snyder
934dc842e0
Add --bbox-unsup option to black-box unsupported UDP tables.
2009-12-16 11:45:28 -05:00
Wilson Snyder
f581b4e92c
Commentary
2009-12-15 06:54:29 -05:00
Wilson Snyder
02c74b5bee
Document OBJCACHE and OBJCACHE_JOBS
2009-12-13 11:46:29 -05:00
Wilson Snyder
097f3590ae
Add -sv option to match other simulators
2009-12-07 15:30:37 -05:00
Wilson Snyder
5a9309de78
DPI import: Allow system calls to call imports
2009-12-04 07:05:44 -05:00
Wilson Snyder
a40fae04ce
Support direct programming interface (DPI) "import".
2009-12-03 06:55:29 -05:00
Wilson Snyder
fc70ae180e
Add descriptive headers to output files
2009-12-02 20:09:13 -05:00
Wilson Snyder
ad0fcb745e
Internals: Use common function to resolve task pins
2009-11-30 18:36:31 -05:00
Wilson Snyder
d2a27a84cf
Support chandle
2009-11-24 09:11:25 -05:00
Wilson Snyder
c7d8eb126f
Support and .
2009-11-23 21:24:55 -05:00
Wilson Snyder
d608fd77b9
Fix initialization of 2 state vars to zero
2009-11-23 19:08:25 -05:00
Wilson Snyder
62f707f501
Support $test$plusargs and $value$plusargs, but see the docs.
2009-11-19 17:04:21 -05:00
Wilson Snyder
19d62b7a68
Support 'time'.
2009-11-19 10:45:59 -05:00
Wilson Snyder
fd38216eda
Commentary: Fix SpTraceVcd include, bug185
2009-11-14 08:43:57 -05:00
Wilson Snyder
377f194837
Support typedef
2009-11-06 23:16:06 -05:00
Wilson Snyder
b1ce6bd5cc
Support "var"
2009-11-05 19:57:31 -05:00
Wilson Snyder
700c1f836d
Internals: Move array definitions to AstArrayDType instead of under AstVars.
...
Prep work for more complicated data types.
2009-11-04 22:31:53 -05:00
Wilson Snyder
3236607be4
Convert README to POD format, and add internals.txt readme
2009-11-03 09:22:47 -05:00
Wilson Snyder
6bc81d3d26
Support byte, shortint, int, longint in variables, parameters and functions.
...
Internals: function/var sizing and signing now comes via dtypep()
Internals: cleanup code that widths parameters (again)
2009-11-02 22:14:11 -05:00
Wilson Snyder
9a133ced2d
Support 'bit' keyword
2009-10-31 15:12:28 -04:00
Wilson Snyder
47b5157f01
Support division and modulus of > 64 bit vectors.
2009-10-26 20:12:09 -04:00
Wilson Snyder
39444d83c5
Support little endian bit vectors ("reg [0:2] x;").
2009-10-25 16:53:55 -04:00
Wilson Snyder
905cadc00e
License clarification
2009-10-22 15:46:47 -04:00
Wilson Snyder
b883ce95b2
Add --gdbbt option
2009-10-04 18:04:37 -04:00
Wilson Snyder
b085b34a69
Commentary
2009-09-18 22:35:46 -04:00
Wilson Snyder
faa5ef193b
Add --bbox-sys option to blackbox $system calls.
2009-09-16 09:28:09 -04:00
Wilson Snyder
28c61b548b
Commentary, bug108.
2009-09-14 13:36:15 -04:00
Wilson Snyder
59b491e3a8
Allow renaming C keywords
2009-07-22 15:21:41 -04:00
Wilson Snyder
4dde1ede0e
Support SystemVerilog "logic", bug101.
2009-07-16 09:19:15 -04:00
Wilson Snyder
1c833f8a9a
Commentary
2009-07-16 08:59:08 -04:00
Wilson Snyder
8174c1ad02
Patching SystemC is no longer required to trace sc_bvs.
2009-07-07 17:51:00 -04:00
Wilson Snyder
348c43de63
Add verilator --pins-uint8 option to use sc_in<uint8_t/uint16_t>.
2009-06-29 09:21:21 -04:00
Wilson Snyder
cd9b15b2a6
Commentary
2009-06-26 06:47:48 -04:00
Wilson Snyder
8b20d777b0
Add verilator -V option, to show verbose version.
2009-06-25 19:53:26 -04:00
Wilson Snyder
ec0947ef7e
Add BLKLOOPINIT error code, and describe --unroll-count.
2009-06-24 17:24:42 -04:00
Wilson Snyder
96b23f6edd
Make old site-specific configuration more generic,
...
set DIRPROJECT_PERL_BOOT to a script for bin/verilator to call to do setup.
2009-06-21 13:17:12 -04:00
Wilson Snyder
92fbec2294
Commentary
2009-06-08 16:38:09 -04:00
Wilson Snyder
4569278c53
Reconsile parser with Verilog-Perl version, to enable more SV features
2009-05-07 18:28:05 -04:00
Wilson Snyder
7df730cedd
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
2009-05-04 17:07:57 -04:00
Wilson Snyder
9b2004d6b7
Commentary
2009-04-03 17:26:34 -04:00
Wilson Snyder
38669d0a10
Add SYSTEMPERL_INCLUDE envvar to assist RPM builds.
2009-03-28 10:18:53 -04:00
Wilson Snyder
193dcf38f4
Add --pins-bv option to use sc_bv for all ports.
2009-03-13 14:17:30 -04:00
Wilson Snyder
290795a76b
Commentary
2009-01-28 14:28:55 -05:00
Wilson Snyder
c2cf2bc0ba
Commentary
2009-01-26 18:36:59 -05:00
Wilson Snyder
21b5a4e9e4
Add --debugi-<srcfile> option.
2009-01-21 16:56:50 -05:00
Wilson Snyder
057928b079
Fix VL_RANDom to better randomize bits.
2009-01-21 13:52:51 -05:00
Wilson Snyder
4beaa45199
Clock_enable is past experimental; bug50.
2009-01-20 07:24:57 -05:00
Wilson Snyder
12bd12e112
Support bufif0, bufif1, notif0, notif1
2009-01-06 11:57:25 -05:00
Lane Brooks
0e4f9170fa
Tristate support; merge from branch.
2009-01-06 11:03:57 -05:00
Wilson Snyder
41555e5aa3
Coverage of each parametarized module is counted separately.
2009-01-05 14:16:09 -05:00
Wilson Snyder
3d06720628
Copyright year update
2009-01-02 11:47:39 -05:00
Wilson Snyder
77405ddded
Add toggle coverage
2008-12-12 15:34:02 -05:00
Wilson Snyder
6b46da0240
Create /*verilator coverage_on/off*/ instead of coverage_module_off.
...
This allows finer grained bracketing of sections of interest.
Convert tracing_on/off to use the same general scheme.
2008-12-11 16:01:41 -05:00
Wilson Snyder
0fed1d34d1
Add /*verilator coverage_module_off*/
2008-12-10 17:10:03 -05:00
Wilson Snyder
adebc99e49
Fix certain generate-if cells causing clone error.
2008-12-09 20:59:22 -05:00
Wilson Snyder
9d856ec1bf
Fix SystemC 2.2 deprecated warnings about sensitive() and sc_start().
2008-12-03 10:11:28 -05:00
Wilson Snyder
41dbfc9e1c
Support posedge of bit-selected signals, bug45.
2008-11-22 21:10:20 -05:00
Wilson Snyder
211894cb3e
Fix arrayed variables under function not compiling, bug44.
2008-11-19 09:43:03 -05:00
Wilson Snyder
b75ff3652c
Fix internal signal names containing control characters (broke in 3.680).
...
Internally this means for signal names use __0{xdigit}{xdigit} and avoid
__0 in other cases.
2008-11-17 21:02:10 -05:00
Wilson Snyder
d2105ba390
Commentary
2008-11-16 20:30:22 -05:00
Wilson Snyder
cfc06ab2a7
Fix tests to work with binary SpCoverage files
2008-11-12 15:29:44 -05:00
Wilson Snyder
3e8cf26c1a
Fix coverage hierarchy being backwards with inlining.
2008-11-04 17:19:59 -05:00
Wilson Snyder
384807ebbd
Ignore SystemVerilog timeunit and timeprecision
2008-10-14 14:49:54 -04:00
Wilson Snyder
a348bd3458
Add unique and parallel case
2008-10-10 19:02:27 -04:00
Wilson Snyder
0fba25c1b3
Commentary
2008-09-29 15:07:27 -04:00
Wilson Snyder
d90071637d
SystemC uint64_t pins are now the default instead of sc_bv<64>.
...
Use --no-pins64 for backward compatibility.
2008-09-18 09:20:16 -04:00
Wilson Snyder
99cf981c2f
Support coverage under SystemPerl 1.285 and newer.
2008-09-04 09:43:53 -04:00
Wilson Snyder
500dc2170f
Support SystemVerilog "cover property" statements.
2008-08-06 12:52:39 -04:00
Wilson Snyder
2b63219cc6
Add IMPERFECTSCH warning, disabled by default.
2008-08-05 13:41:53 -04:00
Wilson Snyder
f1b7762bef
Add by-design and by-module subtotals to verilator_profcfunc.
2008-08-05 09:59:15 -04:00
Wilson Snyder
043ad86482
When warnings are disabled on signals that are flattened out, disable
...
the warnings on the signal(s) that replace it.
2008-08-01 15:30:17 -04:00
Wilson Snyder
74ca9578ed
VERILATOR_BIN envvar should override adding _dbg to program name
2008-07-25 16:30:18 -04:00
Wilson Snyder
d499161446
Fix typo in CASEWITHX
2008-07-23 08:58:48 -04:00
Wilson Snyder
8a7864ebaa
Add --Wfuture-, for improving forward compatibility.
2008-07-22 14:27:34 -04:00
Wilson Snyder
9e5fb5467f
Add CASEZWITHX lint warning and if disabled fix handling of casez with Xs.
2008-07-22 13:07:19 -04:00
Wilson Snyder
fb34bf7222
Fix lvalue errors with public functions; bug25.
2008-07-22 11:15:28 -04:00
Wilson Snyder
4591f35b7c
Add --autoflush option
2008-07-16 14:06:08 -04:00
Wilson Snyder
f0a06182ca
Add --x-assign=fast, and make it the default.
2008-07-16 13:31:21 -04:00
Wilson Snyder
826b997166
Add .
2008-07-14 13:16:05 -04:00
Wilson Snyder
701bd38d01
Add support for , . Bug14.
2008-07-01 14:15:10 -04:00
Wilson Snyder
0703843ac1
Support , .
2008-06-27 20:04:20 -04:00
Wilson Snyder
d6884db439
Support .
2008-06-27 11:36:25 -04:00
Wilson Snyder
fdcbedef8f
Add support
2008-06-27 08:45:05 -04:00
Wilson Snyder
8afd19648f
Support
2008-06-26 08:52:02 -04:00
Wilson Snyder
23ee0342c6
Fix Makefile to find headers/libraries under prefix.
2008-06-24 14:50:34 -04:00
Wilson Snyder
52912c6329
Convert repository to git from svn.
...
- Change .cvsignore to .gitignore
- Remove Id metacomments
- Cleanup whitespace at end of lines
2008-06-09 21:25:10 -04:00
Wilson Snyder
d6e9c72424
Fix compile errors under Fedora 9, GCC 4.3.0.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1068 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-05-28 19:58:18 +00:00
Wilson Snyder
77f063367a
Change website references to veripool.org
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1040 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-04-25 12:16:55 +00:00
Wilson Snyder
506c36beed
Fix ranges on gate primitive instantiations
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1038 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-04-25 11:48:18 +00:00
Wilson Snyder
e137e93f94
Support optional argument to and .
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1034 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-04-24 14:32:39 +00:00
Wilson Snyder
956a0a9c99
Add Verilog 2005 () function.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1032 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-04-24 13:52:51 +00:00
Wilson Snyder
7e5a7b65a0
Unsized concatenates now give WIDTHCONCAT warnings.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1020 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-04-02 12:53:53 +00:00
Wilson Snyder
280eb48ba4
Add --language option for supporting older code. [Stefan Thiede]
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1015 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-03-28 20:41:21 +00:00
Wilson Snyder
f6fb2362c6
Fix dropping of backslash quoted-quote at end of .
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1012 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-03-26 14:58:30 +00:00
Wilson Snyder
93531e520a
Add --top-module option to select between multiple tops. [Stefan Thiede]
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1010 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-03-25 19:57:41 +00:00
Wilson Snyder
b1565f5b89
Add --error-limit option
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1002 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-03-20 00:14:26 +00:00
Wilson Snyder
4fb8dcfd4e
Convert re-defining macro error to warning.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1001 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-03-19 15:05:08 +00:00
Wilson Snyder
16d1f2b835
Allow multiple .v files on command line. [Stefan Thiede]
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1000 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-03-19 14:22:05 +00:00
Wilson Snyder
c0a365bd34
With --enable-defenv, support for hard-coding VERILATOR_ROOT etc in the executables
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@998 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-03-18 20:26:37 +00:00
Wilson Snyder
45940ff820
Move most env vars from wrapper to verilator_bin, and wrap in accessor
...
functions. The functionallity should be mostly the same as before, except
allow verilator_bin to be in the search-path and VERILATOR_ROOT not set.
git-svn-id: file://localhost/svn/verilator/trunk/verilator@997 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-03-18 15:21:13 +00:00
Wilson Snyder
e4297486ef
Avoid creating obj_dir with --lint-only
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@985 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-01-31 14:49:27 +00:00
Wilson Snyder
87533b13e1
Fix begin_keywords 1800-2005 error introduced in last commit.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@977 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-01-15 14:58:52 +00:00
Wilson Snyder
a2ffe86a36
Copyright update
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@976 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-01-15 14:29:08 +00:00
Wilson Snyder
22bde7d461
Fixed tracing of SystemC w/o SystemPerl
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@975 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-12-13 13:54:04 +00:00
Wilson Snyder
f23203dc96
Make __Inlines.h file so we can build VL_CONST_W_#X funcs automatically
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@974 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-11-30 22:38:21 +00:00
Wilson Snyder
a94f1c779b
Spelling fixes
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@973 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-11-30 22:12:53 +00:00
Wilson Snyder
6412aff82d
Version bump, commentary
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@970 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-11-27 16:52:19 +00:00
Wilson Snyder
8075372675
Add -Wno-lint
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@966 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-10-31 21:17:23 +00:00
Wilson Snyder
10e34ca48e
Support "#delay <statement>;" with associated STMTDLY warning.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@965 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-10-31 20:29:07 +00:00
Wilson Snyder
fb2cb3c49d
Don't exit early if many warnings but no errors are found. [Stan Mayer]
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@953 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-08-23 13:21:58 +00:00
Wilson Snyder
5f6d69affd
Support SystemVerilog ==? and !=? operators.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@945 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-07-18 15:01:39 +00:00
Wilson Snyder
4a9bea6980
Version bump; commentary
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@942 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-06-21 21:00:38 +00:00
Wilson Snyder
2b5d7eeb50
Lesser license for verilated files
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@931 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-06-11 17:07:11 +00:00
Wilson Snyder
bb9ae89049
Version bump
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@928 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-05-22 12:15:01 +00:00
Wilson Snyder
72832a2810
Support empty module declarations
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@927 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-05-18 18:48:22 +00:00
Wilson Snyder
6a6995187e
Add --compiler flags, and break up deep functions
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@913 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-04-19 18:20:16 +00:00
Wilson Snyder
a216c1e7e4
Add verilator lint_save/lint_restore
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@912 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-04-19 14:21:37 +00:00
Wilson Snyder
77261cce5b
Add -lint-only option
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@910 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-04-18 18:26:38 +00:00
Wilson Snyder
78db712438
Fix compile errors under Windows MINGW compiler. [Gerald Williams]
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@909 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-04-18 17:58:59 +00:00
Wilson Snyder
cbf52bb5d0
Fix 3.640 `verilog forcing IEEE 1364-1995 only.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@907 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-03-16 18:44:17 +00:00
Wilson Snyder
d2ce499b59
Support SystemVerilog .name and .* interconnect.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@906 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-03-14 13:06:08 +00:00
Wilson Snyder
01e9bc4855
Support while and do-while loops.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@905 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-03-13 18:21:23 +00:00
Wilson Snyder
79ab50d84f
Support trivial SV assertions
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@898 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-03-06 21:43:38 +00:00
Wilson Snyder
b4d9eb6ec4
Commentary
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@895 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-03-05 22:22:34 +00:00
Wilson Snyder
fabbfbc46e
Add `begin_keywords support
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@894 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-03-05 21:35:49 +00:00
Wilson Snyder
44fe8741f3
Add /*verilator public_flat*/
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@891 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-03-02 22:24:51 +00:00
Wilson Snyder
ead01ba0b9
Commentary and fix old SYSTEMPERL pointer
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@884 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-02-07 14:26:53 +00:00
Wilson Snyder
8e7267f0e2
With VL_DEBUG, show wires causing convergance errors.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@883 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-01-31 21:49:13 +00:00
Wilson Snyder
da07cc6ed1
Testcases should use !== to avoid Xs matching
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@878 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-01-26 14:59:30 +00:00
Wilson Snyder
3ff10b40d6
Fix isolate_assignments when many signals per always.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@877 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-01-23 18:11:26 +00:00
Wilson Snyder
7f515e6033
Add --trace-depth option
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@876 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-01-18 18:31:49 +00:00
Wilson Snyder
a583592cd4
Make error code for MULTITOP and document
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@875 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-01-18 14:30:45 +00:00
Wilson Snyder
895a3264a3
Add isolate_assignments meta comment
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@871 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-01-18 00:51:26 +00:00
Wilson Snyder
8ca6901f1f
Commentary
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@869 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-01-17 19:56:39 +00:00
Wilson Snyder
b5b1d94d4a
Copyright date update
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@864 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-01-02 22:06:40 +00:00
Wilson Snyder
5a65f6debb
Support [#] in dotted cell names
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@863 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-12-22 15:06:13 +00:00
Wilson Snyder
dc6a164e01
Split V3LinkResolve into V3LinkLValue, and remove lvalue determination from bison code
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@858 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-12-21 14:35:19 +00:00
Wilson Snyder
8714ee591f
Yet another round of dotted generate fixes
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@856 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-12-20 20:45:13 +00:00
Wilson Snyder
699563c9bd
Add support.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@846 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-12-19 14:09:57 +00:00
Wilson Snyder
a599fbea18
Have tests pick up standard .mk so PERL is set based on configure
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@845 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-12-18 20:33:10 +00:00
Wilson Snyder
3b554f4c5b
Rename config.h to config_build.h, and add cross compile notes.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@842 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-12-18 19:20:45 +00:00
Wilson Snyder
857ac24ba7
Fix dotted ref signals under generate cells
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@837 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-12-12 18:25:33 +00:00
Wilson Snyder
8b91a9f898
Version bump
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@832 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-12-05 15:12:19 +00:00
Wilson Snyder
86c8e7b3e6
Add --output-split-cfuncs for accelerating GCC.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@829 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-10-26 01:08:44 +00:00
Wilson Snyder
9026118a7c
Fix (blah) with width violation
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@828 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-10-25 21:41:32 +00:00
Wilson Snyder
1aba0f6379
Show error code on non-generic errors; add TASKNSVAR
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@822 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-10-18 13:45:50 +00:00
Wilson Snyder
11cfa3c072
Suppress unused warnings using attribute
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@821 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-10-18 12:59:30 +00:00
Wilson Snyder
3ad5872d30
Add verilator no_inline_task
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@816 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-10-11 15:41:42 +00:00
Wilson Snyder
710d7c0ee5
Fix link error when using --exe with --trace.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@813 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-10-09 13:38:15 +00:00
Wilson Snyder
1c8fd37745
Fix some Coverity Prevent warnings
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@812 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-10-06 16:08:46 +00:00
Wilson Snyder
318a6e348c
Support wide public task outputs.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@810 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-10-05 14:53:17 +00:00
Wilson Snyder
95c5b85e88
Unlink .vpp files without --debug
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@808 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-10-04 18:46:42 +00:00
Wilson Snyder
79e02858cb
Add --debug-check flag
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@802 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-10-02 17:09:56 +00:00
Wilson Snyder
7738b202ac
Remove --bin from perl shell, use argv[0] instead
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@801 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-09-30 00:27:05 +00:00
Wilson Snyder
b4d4917c6c
Fix coredump in XREFs under FUNCREF's
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@800 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-09-30 00:08:09 +00:00
Wilson Snyder
94f0809d33
Add more boolean identity fixes
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@798 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-09-27 18:00:53 +00:00
Wilson Snyder
621ef70c31
Allow overriding PERL, YACC, LEX versions.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@794 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-09-25 15:58:17 +00:00
Wilson Snyder
a4db880809
Use vluint8/16/64 in source code
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@789 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-09-19 15:27:15 +00:00
Wilson Snyder
e15228498d
Define VL_PRINTF by default, and remove old coverageRequest
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@786 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-09-12 00:55:27 +00:00
Wilson Snyder
12ae70ba95
Prep for removing begin under first generate, but doesn't work. Commentary instead
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@782 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-09-11 20:42:47 +00:00
Wilson Snyder
21eb939f37
Allow dotted references of arrayed cells
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@777 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-09-06 17:48:41 +00:00
Wilson Snyder
6f48185a1f
Fix arrayed instances
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@774 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-09-01 15:31:07 +00:00
Wilson Snyder
fe99abeccc
Add -MP option
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@772 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-08-31 15:29:15 +00:00
Wilson Snyder
3909ba1cef
Reorder structures to always go through symp
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@770 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-08-30 21:07:55 +00:00
Wilson Snyder
c82235a2de
Add `systemc_dtor option
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@767 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-08-30 17:27:53 +00:00
Wilson Snyder
eec5c8bf6d
Add --inhibit-sim switch so we can remove extra Vm_inhibitSim variable
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@762 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-08-29 00:27:04 +00:00
Wilson Snyder
ce10dbd11c
Version bump
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@753 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-08-26 11:35:28 +00:00