Wilson Snyder
|
c36d9a68f5
|
Support $ungetc.
|
2019-11-16 12:55:10 -05:00 |
|
Wilson Snyder
|
8c5aa21a11
|
Support $rewind.
|
2019-11-16 12:21:35 -05:00 |
|
Wilson Snyder
|
47b5e36e60
|
Add -Wpedantic for compliance testing.
|
2019-11-16 11:59:21 -05:00 |
|
Wilson Snyder
|
5811ec07e6
|
Update URLs to https://verilator.org
|
2019-11-07 22:33:59 -05:00 |
|
Wilson Snyder
|
2aed499e00
|
Fix detecting missing reg types, bug1570.
|
2019-11-05 21:15:44 -05:00 |
|
Wilson Snyder
|
b2c5f8e74e
|
Commentary: No functional change.
|
2019-11-05 20:42:49 -05:00 |
|
Wilson Snyder
|
2ab819aef0
|
Fix bad-syntax crashes, bug1573
|
2019-10-28 18:46:13 -04:00 |
|
Wilson Snyder
|
6081c262f2
|
Fix misc bad-syntax crashes, bug1557-1560.
|
2019-10-16 20:05:29 -04:00 |
|
Wilson Snyder
|
c73336f8f5
|
Fix misc bad-syntax crashes, bug1548, bug1550-1553.
|
2019-10-15 19:06:00 -04:00 |
|
Wilson Snyder
|
307549e8a6
|
Internals: Fix some cppcheck warnings.
|
2019-10-06 08:20:02 -04:00 |
|
Wilson Snyder
|
d472ef63e9
|
Internals: Cleanup some misnamed classes. No functional change.
|
2019-10-05 08:17:21 -04:00 |
|
Wilson Snyder
|
12fa085b26
|
Fix misc bad-syntax crashes, bug1529.
|
2019-09-30 19:22:14 -04:00 |
|
Wilson Snyder
|
be4692772c
|
Ignore MCD fopenw with --bbox-unsup.
|
2019-09-26 23:37:22 -04:00 |
|
Wilson Snyder
|
1471f5691a
|
Fix error on multidimensional cells, bug1505.
|
2019-09-12 19:06:26 -04:00 |
|
Wilson Snyder
|
e556269692
|
Commentary - Spelling fixes
|
2019-09-12 07:22:22 -04:00 |
|
Wilson Snyder
|
314cd92129
|
Support $fseek, $ftell, $frewind, bug1496.
|
2019-09-03 21:28:15 -04:00 |
|
Wilson Snyder
|
81e806e895
|
Fix elaboration time errors, bug1429.
|
2019-08-04 22:34:54 -04:00 |
|
Wilson Snyder
|
7d4958264a
|
Support arbitrary-argument $warning/$info etc
|
2019-08-04 21:50:08 -04:00 |
|
Wilson Snyder
|
ee469eedaf
|
Fix some errors reporting wrong objects.
|
2019-07-14 15:06:49 -04:00 |
|
Wilson Snyder
|
0fef3b02ec
|
Fix some parse tokens having wrong fileline.
|
2019-07-13 12:01:26 -04:00 |
|
Wilson Snyder
|
a7e5cccf33
|
In errors, single quote signals and other from-user data.
|
2019-07-11 22:36:32 -04:00 |
|
Wilson Snyder
|
8548ecfdac
|
Internals: Add UASSERT_OBJ macro to replace hand-done ifs. No functional change intended.
This makes it easier to filter out correctly zero code-coverage lines.
|
2019-07-06 12:57:50 -04:00 |
|
Wilson Snyder
|
951521320a
|
Warnings: Suppress dup lines, and use lowercase note: to match gcc
|
2019-06-22 16:12:17 -04:00 |
|
Wilson Snyder
|
5cb6474cc6
|
Fix not reporting some duplicate signals/ports, bug1462.
|
2019-06-22 12:43:48 -04:00 |
|
Wilson Snyder
|
77c2d49d1a
|
Internals: Move code out of verilog.y. No functional change.
|
2019-06-11 21:19:44 -04:00 |
|
Wilson Snyder
|
cfb05cd70a
|
Whitespace cleanup. No functional change.
|
2019-06-11 20:20:04 -04:00 |
|
Wilson Snyder
|
f6f8073058
|
Support logical equivalence operator <->.
|
2019-06-01 19:40:06 -04:00 |
|
Wilson Snyder
|
902ba752a3
|
Move many unsupported errors from lex to parser so can --bbox-unsup ignore them.
|
2019-05-31 21:05:50 -04:00 |
|
Wilson Snyder
|
97d9de3dad
|
Support deferred assertions, bug1449.
|
2019-05-31 07:33:57 -04:00 |
|
Wilson Snyder
|
a58e7d94ec
|
Error continuation lines no longer have %Error prefix.
|
2019-05-30 20:30:59 -04:00 |
|
Kanad Kanhere
|
3411279294
|
Internals: Relocate quoteNameControls, part of bug1444.
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
|
2019-05-16 21:44:01 -04:00 |
|
Todd Strader
|
eac3458647
|
Internals: V3Number tracks node information, part of bug1305.
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
|
2019-05-09 20:03:19 -04:00 |
|
Wilson Snyder
|
c6650f88e1
|
Internals: Remove some uses of AstConst taking V3Number. No functional change intended.
|
2019-05-03 21:21:18 -04:00 |
|
Wilson Snyder
|
08d041cb93
|
Add error when use parameters without value, bug1424.
|
2019-04-30 19:16:41 -04:00 |
|
Wilson Snyder
|
2582a83376
|
Unsupported error on select of concatenation
|
2019-03-13 19:52:23 -04:00 |
|
Wilson Snyder
|
d9b33d74a4
|
Support void' cast on functions called as tasks, bug1383.
|
2019-03-10 15:12:20 -04:00 |
|
Wilson Snyder
|
7bf3366041
|
Support .
|
2019-03-07 20:56:53 -05:00 |
|
Wilson Snyder
|
46be6d32c9
|
Add unsupported for loops error, msg2692.
|
2019-01-22 19:25:00 -05:00 |
|
Wilson Snyder
|
8a4aeddbb0
|
Copyright year update.
|
2019-01-03 19:17:22 -05:00 |
|
Wilson Snyder
|
ad2929dff0
|
Support "ref" and "const ref" pins and functions, bug1360.
|
2018-10-30 20:50:09 -04:00 |
|
Wilson Snyder
|
b8098098d8
|
Internals: Refactor input/output to new class in prep for ref support.
|
2018-10-27 17:29:00 -04:00 |
|
Wilson Snyder
|
d87b9d25ca
|
Internals: Cleanup and standardize include order. No functional change intended.
|
2018-10-14 13:59:40 -04:00 |
|
Wilson Snyder
|
442e4f35f0
|
Internals: Cleanup empty string constructors for clang-tidy. No functional change.
|
2018-10-13 23:06:36 -04:00 |
|
Wilson Snyder
|
e4d638c73d
|
Internals: Cleanup string casts. No functional change.
|
2018-10-13 22:02:39 -04:00 |
|
Wilson Snyder
|
b59c23d346
|
Move some unsupported syntax to parser for cleaner errors.
|
2018-10-11 21:57:07 -04:00 |
|
Wilson Snyder
|
08e650a2b4
|
Commentary
|
2018-10-11 21:00:29 -04:00 |
|
Wilson Snyder
|
97d89cce35
|
Move some unsupported syntax to parser for cleaner errors.
|
2018-10-08 22:18:09 -04:00 |
|
Wilson Snyder
|
9f8dbc91f2
|
Support restrict, bug1350.
|
2018-09-23 15:20:12 -04:00 |
|
Wilson Snyder
|
0e37747d2c
|
Support $past.
|
2018-09-23 15:20:01 -04:00 |
|
Wilson Snyder
|
86d85412e1
|
Merge from master
|
2018-06-22 18:51:02 -04:00 |
|
Wilson Snyder
|
35a40b4930
|
Commentary
|
2018-06-22 06:35:27 -04:00 |
|
Wilson Snyder
|
9ba6fc9279
|
Merge from master
|
2018-05-13 19:39:30 -04:00 |
|
Wilson Snyder
|
51422e3ee8
|
Fix parsing error on bad missing #, bug1308.
|
2018-05-09 18:32:12 -04:00 |
|
Wilson Snyder
|
2f7002c5ec
|
Merge from master
|
2018-04-10 22:11:49 -04:00 |
|
Wilson Snyder
|
c7c99d8553
|
Fix parsing "output signed" in V2K port list, msg2540.
|
2018-03-29 20:10:27 -04:00 |
|
Wilson Snyder
|
02f18fc21b
|
Merge from master
|
2018-03-15 23:31:59 -04:00 |
|
Wilson Snyder
|
2d580e6939
|
Support IEEE 1800-2017 as default language.
|
2018-03-12 22:26:34 -04:00 |
|
John Coiner
|
fc48008c1c
|
Support
|
2018-03-12 16:44:01 -04:00 |
|
Wilson Snyder
|
5652867316
|
Merge from master
|
2018-03-11 10:42:44 -04:00 |
|
Wilson Snyder
|
c8cf2afb15
|
Support assert properties, bug785, bug1290.
|
2018-03-11 10:37:20 -04:00 |
|
Wilson Snyder
|
d08a91b71e
|
Fix GCC lint complaint of calling NULL->cloneTree. No functional change intended.
|
2018-03-10 17:44:17 -05:00 |
|
Wilson Snyder
|
2c30aecc5b
|
Merge from master
|
2018-03-10 16:51:34 -05:00 |
|
Wilson Snyder
|
22ff760f0b
|
Support calling system functions as tasks, bug1285.
|
2018-03-08 23:40:19 -05:00 |
|
Wilson Snyder
|
f0ba17a19b
|
Merge from master.
|
2018-02-27 07:24:31 -05:00 |
|
Patrick Stewart
|
fe5c4df079
|
Support trig functions (() etc), bug1281.
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
|
2018-02-26 04:25:07 -05:00 |
|
Wilson Snyder
|
597d28b505
|
Fix internals to make null-pointer-check clean. Also add more const's. No functional change intended, but likely something will break.
|
2018-02-01 21:32:58 -05:00 |
|
Wilson Snyder
|
097107bd0b
|
Support 'assume' similar to 'assert', bug1269.
|
2018-01-31 07:33:10 -05:00 |
|
Wilson Snyder
|
8e65d93d6d
|
Copyright year update. No functional change.
|
2018-01-02 18:05:06 -05:00 |
|
Wilson Snyder
|
49fe4d081c
|
Support DPI open arrays, bug909, bug1245.
|
2017-12-17 16:28:58 -05:00 |
|
Wilson Snyder
|
51787d68b9
|
Add error if always_comb has sensitivity list.
|
2017-12-13 19:49:37 -05:00 |
|
Wilson Snyder
|
8f1798cc6f
|
Fix modport outputs being treated as inputs, bug1246.
|
2017-11-28 19:11:41 -05:00 |
|
Wilson Snyder
|
45702e319b
|
Support $size/$bits/etc on type references.
|
2017-11-23 10:17:56 -05:00 |
|
Wilson Snyder
|
813468bfe6
|
Work around bison 3.0 bug printing wrong debug token names
|
2017-11-23 09:50:15 -05:00 |
|
Wilson Snyder
|
dd37c2ea86
|
Support $error/$warning in elaboration time blocks.
|
2017-11-21 21:10:42 -05:00 |
|
Wilson Snyder
|
562f17ea4b
|
Fix xml tags on typedefs.
|
2017-11-13 18:04:13 -05:00 |
|
Chris Randall
|
264b888ef2
|
Add /*verilator tag*/ for XML extraction applications.
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
|
2017-10-06 07:33:52 -04:00 |
|
Wilson Snyder
|
89c8449ec0
|
Support package export, bug1217.
|
2017-09-20 21:04:59 -04:00 |
|
Mike Popoloski
|
74420550e6
|
Fix .name connections on interfaces, bug1214.
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
|
2017-09-14 21:24:13 -04:00 |
|
Mike Popoloski
|
cb5887b376
|
Support module port parameters without defaults, bug 1213.
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
|
2017-09-14 21:20:20 -04:00 |
|
Wilson Snyder
|
41b40157d8
|
Fix non-colon array of interface modports, bug1212.
|
2017-09-14 21:15:56 -04:00 |
|
Wilson Snyder
|
256eb4bba0
|
Support or/and/xor array intrinsic methods, bug1210.
|
2017-09-13 19:37:47 -04:00 |
|
Wilson Snyder
|
3dacd87dfb
|
Fix enum ranges without colons, bug1204.
|
2017-09-12 18:53:57 -04:00 |
|
Wilson Snyder
|
c28a6eef3b
|
Fix whitespace issues, bug1203.
|
2017-09-11 19:18:58 -04:00 |
|
Wilson Snyder
|
b032fce962
|
Support $value$plusargs with variables, bug1165.
|
2017-05-18 22:41:43 -04:00 |
|
Wilson Snyder
|
be6a3d0f10
|
Fix wreal not handling continuous assign, bug1150.
|
2017-03-30 18:32:37 -04:00 |
|
Wilson Snyder
|
17a9b22dce
|
Fix cell ranges without colons.
|
2017-03-21 19:17:15 -04:00 |
|
Wilson Snyder
|
ad3ea636d5
|
Fix 2009 localparam syntax, msg2139.
|
2017-01-26 22:41:32 -05:00 |
|
Wilson Snyder
|
e6d7e7e329
|
Version bump
|
2017-01-15 12:13:13 -05:00 |
|
Wilson Snyder
|
f942aba855
|
Support old-style (), bug467.
|
2017-01-09 19:19:21 -05:00 |
|
Wilson Snyder
|
663b2be065
|
Tests: bug1104, unsupported.
|
2016-12-21 21:00:40 -05:00 |
|
Wilson Snyder
|
a1e4d676c3
|
Fix parsing sensitivity with &&, bug934.
|
2016-12-21 18:23:14 -05:00 |
|
Wilson Snyder
|
6f28d21207
|
With --bbox-unsup, suppress desassign and mixed edges, bug1120.
|
2016-12-21 17:43:19 -05:00 |
|
Wilson Snyder
|
1ae22e1365
|
Internals: Cleanup some casts. No functional change.
|
2016-11-06 11:39:09 -05:00 |
|
Wilson Snyder
|
1e4c3751e1
|
Support foreach, bug1078.
|
2016-09-19 22:00:13 -04:00 |
|
Wilson Snyder
|
9ae40d64f0
|
Support parameter type, bug376.
|
2016-03-14 21:51:31 -04:00 |
|
Wilson Snyder
|
cef097b7b7
|
Internals: Refactoring prep for parameter type branch. No functional change intended.
|
2016-03-12 20:54:52 -05:00 |
|
Wilson Snyder
|
a509b6a21c
|
Internals: Fix compares to null, ongoing part of bug1030. No functional change intended.
|
2016-02-08 22:15:44 -05:00 |
|
Wilson Snyder
|
d56179df17
|
Internals: Fix compares to null, part of bug1030. No functional change intended.
|
2016-02-02 21:02:00 -05:00 |
|
Wilson Snyder
|
b738d1960a
|
Copyright year update
|
2016-01-06 20:36:41 -05:00 |
|
Todd Strader
|
5e54d3e41a
|
Fix interface inside generate, bug1001, bug1003.
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
|
2015-12-05 19:39:40 -05:00 |
|
Todd Strader
|
57f2fe77ea
|
Fix cell [#] to mean # cells.
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
|
2015-12-01 18:23:11 -05:00 |
|
Wilson Snyder
|
0cb5d5cc5a
|
Internals: Upgrade some C strings to C++
|
2015-11-10 18:59:48 -05:00 |
|
Wilson Snyder
|
281f4e04ee
|
Fix $fwrite to constant stderr/stdout, bug961.
|
2015-10-23 21:53:16 -04:00 |
|
Wilson Snyder
|
4fc9a906f6
|
Internals: Fix cppcheck warnings; add VL_DANGLING. No functional change.
|
2015-10-04 13:16:35 -04:00 |
|
Wilson Snyder
|
f1874b211f
|
Support , bug977.
|
2015-10-03 07:12:56 -04:00 |
|
Wilson Snyder
|
882913f0ca
|
Add tracing_on, etc to vlt files, bug932.
|
2015-07-22 20:14:58 -04:00 |
|
Wilson Snyder
|
e918d945f2
|
Fix order of C style arrays.
|
2015-03-13 07:06:06 -04:00 |
|
Wilson Snyder
|
486d69da5f
|
Add --clk and related optimizations, msg1533.
|
2015-03-12 19:20:46 -04:00 |
|
Wilson Snyder
|
a89502be9f
|
Fix comma-separated instantiations with parameters, bug884.
|
2015-02-22 11:41:10 -05:00 |
|
Wilson Snyder
|
90cbcd2dfd
|
Fix non-ANSI modport instantiations, bug868.
|
2015-01-17 15:35:45 -05:00 |
|
Wilson Snyder
|
4c91ade61d
|
Copyright year update
|
2015-01-07 18:25:53 -05:00 |
|
Wilson Snyder
|
8b0af19351
|
Support cast operator with expression size, bug865.
|
2014-12-23 22:11:31 -05:00 |
|
Wilson Snyder
|
a118921b21
|
Fix bare generates in interfaces, bug789.
|
2014-11-28 21:32:57 -05:00 |
|
Wilson Snyder
|
8b457b9b66
|
Internal: Rename string functions. No functional change.
|
2014-11-28 13:50:37 -05:00 |
|
Wilson Snyder
|
87a47a5ca0
|
Remove PSL support
|
2014-11-22 10:14:14 -05:00 |
|
Wilson Snyder
|
117db3e11c
|
Trace_off now operates on cells, bug826.
|
2014-11-08 14:15:10 -05:00 |
|
Wilson Snyder
|
3f82fd2f37
|
Add public enums, bug833.
|
2014-11-07 07:50:11 -05:00 |
|
Wilson Snyder
|
03100020ab
|
Fix not tracing modules following primitives, bug837.
|
2014-11-04 07:49:03 -05:00 |
|
Wilson Snyder
|
b6a39db627
|
Fix optional parameter keyword in module #(), bug810.
|
2014-08-27 07:57:20 -04:00 |
|
Wilson Snyder
|
8031f0ed7f
|
Fix duplicate anonymous structures in , bug788.
|
2014-06-15 11:18:47 -04:00 |
|
Wilson Snyder
|
1f2abb9c0f
|
Fix gate primitives with arrays and non-arrayed pins.
|
2014-05-15 20:57:09 -04:00 |
|
Wilson Snyder
|
5f262a8f11
|
Fix ENDLABEL warnings on escaped identifiers.
|
2014-05-15 20:52:22 -04:00 |
|
Wilson Snyder
|
f62bc6a2e5
|
Support SV 2012 package import before port list.
|
2014-05-15 20:50:42 -04:00 |
|
Wilson Snyder
|
4a58e859a4
|
Fix concats with no argments mis-sign extending, bug759.
|
2014-05-03 20:20:15 -04:00 |
|
Wilson Snyder
|
adb39ceb98
|
Internals: cppcheck clean and add cppcheck_filtered
|
2014-04-29 22:59:38 -04:00 |
|
Wilson Snyder
|
b0f4cf3c9c
|
Support {} in always sensitivity lists, bug745.
|
2014-04-21 19:39:28 -04:00 |
|
Glen Gibb
|
d34275150c
|
Support streaming operators, bug649.
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
|
2014-04-09 20:29:35 -04:00 |
|
Wilson Snyder
|
d04eb977c2
|
Fix mis-extending red xor/xand operators.
|
2014-04-09 07:58:46 -04:00 |
|
Wilson Snyder
|
28e35a64ea
|
Support parameter arrays, bug683.
|
2014-04-01 23:16:16 -04:00 |
|
Wilson Snyder
|
c9ed9e74f2
|
Add --no-trace-params.
|
2014-03-13 20:08:43 -04:00 |
|
Wilson Snyder
|
45bbae80e7
|
Add parameters into trace files, bug706.
|
2014-03-08 15:36:04 -05:00 |
|
Wilson Snyder
|
2d61e0270e
|
Support case inside, bug708.
|
2014-01-20 21:59:53 -05:00 |
|
Wilson Snyder
|
4422de0c6c
|
Copyright year update.
|
2014-01-06 19:28:57 -05:00 |
|
Wilson Snyder
|
bcefc17631
|
Support modport import, bug696.
|
2013-12-21 06:51:15 -05:00 |
|
Wilson Snyder
|
b5f5b1fdf9
|
Fix wire declarations with size and not range, bug466.
|
2013-12-14 19:50:55 -05:00 |
|
Wilson Snyder
|
c24f7b1391
|
Support named function and task arguments.
|
2013-08-17 20:34:49 -04:00 |
|
Wilson Snyder
|
1baa2a2558
|
Fix interface ports with comma lists, msg1058.
|
2013-06-13 19:38:18 -04:00 |
|
Wilson Snyder
|
23bb045a72
|
Support interfaces and modports, bug102.
|
2013-05-27 21:39:19 -04:00 |
|
Wilson Snyder
|
54eedcc739
|
Support signal[vec]++.
|
2013-05-06 08:02:16 -04:00 |
|
Wilson Snyder
|
d581582339
|
Add ALWCOMBORDER warning.
|
2013-04-30 22:55:28 -04:00 |
|
Wilson Snyder
|
a767da4f3f
|
Support <number>'() sized casts, bug628.
|
2013-03-05 22:13:22 -05:00 |
|
Wilson Snyder
|
6c8d95e0e2
|
Nice message on fopen with missing argument.
|
2013-02-22 17:14:27 -05:00 |
|
Wilson Snyder
|
18eb210313
|
Support bind in , bug602.
|
2013-02-14 06:55:09 -05:00 |
|
Wilson Snyder
|
4386077e2d
|
Support pattern assignments with data type labels, bug618.
|
2013-02-13 20:52:38 -05:00 |
|
Wilson Snyder
|
f07f6a26a8
|
cppcheck fixes
|
2013-02-03 13:27:37 -05:00 |
|
Wilson Snyder
|
4968a2abc5
|
Support inside expressions.
|
2013-02-02 12:55:48 -05:00 |
|
Wilson Snyder
|
c9ad61b4fb
|
Support wires with data types, bug608.
|
2013-02-02 09:33:04 -05:00 |
|
Wilson Snyder
|
929aeebf12
|
Support , and related functions, bug448.
|
2013-01-20 12:19:22 -05:00 |
|
Wilson Snyder
|
410e6ff203
|
Fix DECLFILENAME warning on .
|
2013-01-17 18:38:51 -05:00 |
|
Wilson Snyder
|
795e66eac9
|
Support bind, to module names only, bug602.
|
2013-01-14 23:19:44 -05:00 |
|
Wilson Snyder
|
7f5220a6ca
|
Internals: Fix marking of packed vs unpacked wires.
|
2013-01-13 22:18:57 -05:00 |
|
Wilson Snyder
|
5c7a6e278f
|
Internals: Split into packed and unpacked array types
|
2013-01-12 16:19:25 -05:00 |
|
Wilson Snyder
|
a8bbf7231b
|
Copyright year update.
|
2013-01-01 09:42:59 -05:00 |
|
Wilson Snyder
|
229d854607
|
Fix package resolution of parameters, bug586.
|
2012-12-31 17:05:13 -05:00 |
|
Wilson Snyder
|
a547133efe
|
Internals: Remove VAR_MEM to match Verilog-Perl, towards bug586.
|
2012-12-31 13:47:34 -05:00 |
|
Wilson Snyder
|
cf445898ce
|
Internals: Move VARRESET rule to match Verilog-Perl and prevent next change conflict, towards bug586. No functional change.
|
2012-12-31 13:43:54 -05:00 |
|
Wilson Snyder
|
2238fa46ed
|
Show fileline in bison debug.
|
2012-12-02 18:03:34 -05:00 |
|
Wilson Snyder
|
7ef37d6e17
|
Fix missing var access functions when no DPI, bug572.
|
2012-10-30 03:02:35 -04:00 |
|
Wilson Snyder
|
158e112752
|
Internals: Resolve misc bison comments with Verilog-Perl. No functional change.
|
2012-10-08 21:20:13 -04:00 |
|
Wilson Snyder
|
ec992c7f5e
|
Internals: Resolve misc bison comments with Verilog-Perl
|
2012-10-08 20:45:39 -04:00 |
|
Wilson Snyder
|
d3601dd561
|
Support '{} assignment pattern on structures, part of bug355.
|
2012-08-12 15:15:21 -04:00 |
|
Wilson Snyder
|
6339159b04
|
MAJOR: Support packed structures and unions, bug181.
|
2012-07-29 10:16:20 -04:00 |
|
Wilson Snyder
|
b52d94273c
|
Internals: Move variable referencing into LinkDot in support of structs.
|
2012-07-24 06:26:35 -04:00 |
|
Wilson Snyder
|
8b9b7178a2
|
Internals: MAJOR CHANGE. Combine V3Link and V3LinkDot stages for structures.
Functionality should be similar, but may introduce instability in resolving variables/cells.
Final merge from dot.
|
2012-07-21 17:12:42 -04:00 |
|
Wilson Snyder
|
b7d1c34aa6
|
Internals: Add more sym table debug, renames. Merge from dot. No functional change
|
2012-07-19 21:18:39 -04:00 |
|
Wilson Snyder
|
3ec687a0cf
|
Internals: Merge from struct branch, rework LinkDot symbol table. No functional change intended.
|
2012-06-20 06:13:28 -04:00 |
|
Wilson Snyder
|
1b439703ff
|
Fix leak issues, bug521
|
2012-05-30 23:17:55 -04:00 |
|
Wilson Snyder
|
4cc5943f90
|
Leak fix - Null sense item shouldn't disable event
|
2012-05-30 23:15:25 -04:00 |
|
Wilson Snyder
|
87e8736823
|
IMPORTANT: Major internal changes for supporting complex data types.
Adds dtype() to every node, keep global table of dtypes and remove duplicates.
Final merge from dtype branch.
|
2012-04-29 10:14:13 -04:00 |
|
Wilson Snyder
|
486b6580d8
|
Internals: Rename VSignedState. Merge from dtype. No functional change.
|
2012-04-29 08:30:02 -04:00 |
|
Wilson Snyder
|
641024c235
|
Fix generated inouts with duplicated modules, bug498.
|
2012-04-27 19:41:13 -04:00 |
|
Wilson Snyder
|
fb90e47e70
|
Tests: Add t_udp_noname, bug468
|
2012-04-24 20:43:15 -04:00 |
|
Wilson Snyder
|
ffbd595d88
|
Support nmos and pmos, bug488.
|
2012-04-23 20:13:07 -04:00 |
|
Wilson Snyder
|
9734931f67
|
IMPORTANT: Rewrite tristate handling, tri0, tri1, etc.
|
2012-04-21 21:45:28 -04:00 |
|
Wilson Snyder
|
50edef4ab2
|
Add Emacs indentation line. No functional change
|
2012-04-12 21:08:20 -04:00 |
|
Wilson Snyder
|
1601b3b6b0
|
Internals: Merge VFlagChildDtype calls. No functional change intended.
|
2012-03-31 11:22:19 -04:00 |
|
Wilson Snyder
|
4a31463299
|
Fix genvar and begin under generate, bug461.
|
2012-03-23 08:49:47 -04:00 |
|
Wilson Snyder
|
2bda43875d
|
Support += and -= in standard for loops, bug463.
|
2012-03-22 21:02:38 -04:00 |
|
Wilson Snyder
|
37839e2709
|
Cleanup trailing whitespace. No functional change
|
2012-03-20 16:01:53 -04:00 |
|
Wilson Snyder
|
b73642f8a8
|
Report ENDLABEL on mismatching end labels, bug450.
|
2012-03-07 20:14:18 -05:00 |
|
Wilson Snyder
|
03dfbdb7b1
|
Fix inheriting signed type across untyped parameters.
|
2012-03-03 21:03:34 -05:00 |
|
Wilson Snyder
|
6e2758ae26
|
Internals: Minor refactorings from dtype branch. No functional change.
|
2012-03-03 12:10:29 -05:00 |
|
Wilson Snyder
|
8c75674591
|
Internals: V3Dead: Avoid iterating over vars we can't remove.
|
2012-02-29 23:05:11 -05:00 |
|
Wilson Snyder
|
2be6699a6a
|
Internals: Make covergroup parsing closer to normal types
|
2012-02-23 22:09:51 -05:00 |
|
Wilson Snyder
|
d699247269
|
Internals: In AstBasicDType avoid use of Range for constants. No functional change.
|
2012-02-20 11:48:31 -05:00 |
|
Wilson Snyder
|
c2c7c7bd9a
|
Copyright year update
|
2012-01-15 10:26:28 -05:00 |
|
Wilson Snyder
|
362d642c87
|
Support "generate for (genvar i=0; ...".
|
2011-11-29 18:23:18 -05:00 |
|
Wilson Snyder
|
20189f5191
|
Fix empty generate region, bug422.
|
2011-11-28 07:49:36 -05:00 |
|
Wilson Snyder
|
bedf946fb2
|
Support V-AMS wreal
|
2011-11-27 10:31:06 -05:00 |
|
Wilson Snyder
|
b30b2a183b
|
Support .
|
2011-11-20 02:01:48 -05:00 |
|
Wilson Snyder
|
88a2b0b911
|
Support constants in sensitivity lists, bug412.
|
2011-11-02 18:34:17 -04:00 |
|
Wilson Snyder
|
e378cc5791
|
Add sc_bv attribute to force bit vectors, bug402.
|
2011-10-26 08:57:27 -04:00 |
|
Wilson Snyder
|
19be7a53da
|
List unsupported on delays
|
2011-10-21 07:13:38 -04:00 |
|
Wilson Snyder
|
0aa7c3f659
|
Add -Wall reporting ASSIGNDLY on assignment delays.
|
2011-10-20 20:50:42 -04:00 |
|
Wilson Snyder
|
ae68a80e98
|
Fix fflush
|
2011-10-10 18:13:17 -04:00 |
|
Wilson Snyder
|
eb6d42acf9
|
Support $ceil, $floor, etc.
|
2011-09-28 21:35:26 -04:00 |
|
Wilson Snyder
|
3fae8ade05
|
Fix PowerPC runtime error, bug288
|
2011-08-22 21:02:09 -04:00 |
|
Wilson Snyder
|
55906486d8
|
Support 'real' numbers and related functions.
|
2011-07-24 15:01:51 -04:00 |
|
Wilson Snyder
|
ae4a261463
|
Internals: Rename UnaryMin to Negate. No functional change.
|
2011-07-08 06:03:07 -04:00 |
|
Wilson Snyder
|
696660639a
|
Support 'const' variables in limited cases; similar to enums.
|
2011-07-02 12:45:26 -04:00 |
|
Wilson Snyder
|
8137f41fc3
|
Support disable for loop escapes.
|
2011-06-28 21:26:49 -04:00 |
|
Wilson Snyder
|
df4bc950fe
|
Cleanup trailing whitespace
|
2011-05-09 23:58:38 -04:00 |
|
Wilson Snyder
|
c83f12a55c
|
Support simple cast operators, bug335.
|
2011-03-17 22:25:49 -04:00 |
|
Wilson Snyder
|
cfdb852843
|
Fix concatenates and vectored bufif1, bug326.
|
2011-02-23 21:21:59 -05:00 |
|
Wilson Snyder
|
2e67a91982
|
Support $bits(data_type), bug327.
|
2011-02-23 19:04:15 -05:00 |
|
Wilson Snyder
|
a2558886c8
|
Report errors on empty pins, bug321
|
2011-01-29 18:00:48 -05:00 |
|
Wilson Snyder
|
48df52d743
|
Reduce use of CRELINE so line numbers more closely match first parsed location
|
2011-01-18 21:12:31 -05:00 |
|
Wilson Snyder
|
71c1f00ec2
|
Copyright year update
|
2011-01-01 18:21:19 -05:00 |
|
Wilson Snyder
|
2cb97f7475
|
For UNUSED, ignore black boxed sys calls
|
2010-12-30 07:55:31 -05:00 |
|
Wilson Snyder
|
6283df7491
|
Vlt lint off now has optional msgname
|
2010-12-30 06:58:02 -05:00 |
|
Wilson Snyder
|
e94fc1305b
|
Support unique0; track internally with new attributes
|
2010-12-26 08:09:25 -05:00 |
|
Wilson Snyder
|
d6ac5e5001
|
Support ++,--,+= etc as standalone statements.
|
2010-12-07 20:18:47 -05:00 |
|
Wilson Snyder
|
9d98e012e4
|
Fix segfault on SystemVerilog "output wire foo=0", bug291.
|
2010-10-04 07:48:09 -04:00 |
|
Wilson Snyder
|
a320c4584e
|
Fix do {...} while() not requiring final semicolon.
|
2010-07-07 19:15:51 -04:00 |
|
Byron Bradley
|
d776638f53
|
Internals: Mark packed dimensions in arrays, bug227
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
|
2010-04-09 20:40:41 -04:00 |
|
Wilson Snyder
|
7ed18ac62d
|
Internals: Track Verilog-Perl 3.240 parser changes
|
2010-04-06 18:55:54 -04:00 |
|
Wilson Snyder
|
936738b750
|
Add /*verilator public_flat_rw*/ for timing-specific public access.
|
2010-04-05 20:01:17 -04:00 |
|
Wilson Snyder
|
9a3e497c22
|
Fix specparam defaults
|
2010-02-18 08:43:16 -05:00 |
|
Wilson Snyder
|
cdd06e7236
|
Support "break", "continue", "return".
|
2010-02-14 10:01:21 -05:00 |
|
Wilson Snyder
|
b1872a8e21
|
Internals: Add enum prefixes to reduce MSVC macro conflicts
|
2010-02-01 20:15:48 -05:00 |
|
Wilson Snyder
|
abcb95b8a1
|
Fix order of packed arrays, bug216
|
2010-01-26 08:06:39 -05:00 |
|
Wilson Snyder
|
4b38acd540
|
Fix 2D wire decls, bug206
|
2010-01-25 07:52:07 -05:00 |
|
Wilson Snyder
|
d17bcd8afe
|
Allow 'global' reserved identifier in 1800-2009 when possible
|
2010-01-22 19:08:20 -05:00 |
|
Wilson Snyder
|
4360fe492e
|
Fix initial values for local variables, bug210
|
2010-01-21 20:08:45 -05:00 |
|
Wilson Snyder
|
2da9d46ca6
|
Internals: Rename AstVar::initp to valuep as it's a constant, not initial value
|
2010-01-21 18:20:47 -05:00 |
|
Wilson Snyder
|
c695af31b8
|
Add experimental config files to filter warnings outside of the source.
|
2010-01-21 06:11:30 -05:00 |
|
Wilson Snyder
|
d2bce042a5
|
Fix for variable typing, bug205.
|
2010-01-19 19:27:36 -05:00 |
|
Wilson Snyder
|
27e4503dc6
|
DPI: Constify new $sformatf
|
2010-01-17 20:06:08 -05:00 |
|
Wilson Snyder
|
72b596efb3
|
DPI $display like sformat metacomment and $sformatf
|
2010-01-17 19:13:44 -05:00 |
|
Wilson Snyder
|
0d1de96dbc
|
Internals: Add AstSFormatF
|
2010-01-17 15:53:12 -05:00 |
|
Wilson Snyder
|
ab2702070b
|
Remove extra warning newlines
|
2010-01-15 20:07:16 -05:00 |
|
Wilson Snyder
|
8203c79f76
|
/sformat should accept rvalue expressions
|
2010-01-14 19:07:18 -05:00 |
|
Wilson Snyder
|
a2629c4929
|
Internals: Avoid some extra CRELINES
|
2010-01-09 15:44:06 -05:00 |
|
Wilson Snyder
|
0d12fe43a0
|
Internals: Move CASEX warning with other case lints; add test
|
2010-01-09 11:09:14 -05:00 |
|
Wilson Snyder
|
2950f77dbc
|
Ignore gate delays in UDP cells
|
2010-01-07 22:44:30 -05:00 |
|
Wilson Snyder
|
a94f5ba200
|
--bbox-unsup now ignores cmos and tran gate primitives
|
2010-01-07 22:08:48 -05:00 |
|
Wilson Snyder
|
eb63c8dcb8
|
Fix multiple declarations on one enum, bug199
|
2010-01-06 19:04:20 -05:00 |
|
Wilson Snyder
|
cdcb94751e
|
Fix with no parenthesis
|
2010-01-06 14:21:34 -05:00 |
|
Wilson Snyder
|
cd5d8cceb8
|
Fix with no parenthesis
|
2010-01-06 14:13:11 -05:00 |
|
Wilson Snyder
|
729dfdfed7
|
Copyright year update
|
2010-01-05 21:15:06 -05:00 |
|
Wilson Snyder
|
f3347be629
|
Support edge, 1800-2009
|
2009-12-28 19:49:40 -05:00 |
|
Wilson Snyder
|
dbce06500d
|
Support enums
|
2009-12-27 08:29:55 -05:00 |
|
Wilson Snyder
|
45aa8742d8
|
Internals: Rename implicit_typeE to match Verilog-Perl
|
2009-12-17 20:58:14 -05:00 |
|
Wilson Snyder
|
5a9309de78
|
DPI import: Allow system calls to call imports
|
2009-12-04 07:05:44 -05:00 |
|
Wilson Snyder
|
a40fae04ce
|
Support direct programming interface (DPI) "import".
|
2009-12-03 06:55:29 -05:00 |
|
Wilson Snyder
|
1da07a3b86
|
Fix auto-indentation of AstCStmts
|
2009-12-01 21:55:56 -05:00 |
|
Wilson Snyder
|
955824e634
|
Fix functions arguments without leading input
|
2009-11-24 22:16:28 -05:00 |
|
Wilson Snyder
|
d2a27a84cf
|
Support chandle
|
2009-11-24 09:11:25 -05:00 |
|
Wilson Snyder
|
c7d8eb126f
|
Support and .
|
2009-11-23 21:24:55 -05:00 |
|
Wilson Snyder
|
2f2f367c0b
|
Internals: AstConst named functions when want true/false size 1
|
2009-11-22 20:05:33 -05:00 |
|