Wilson Snyder
c1593f856d
Support enum.first/name and similar methods, bug848.
2014-11-28 20:34:23 -05:00
Wilson Snyder
43be4cf2b5
Add 'string' printing and comparisons, bug746, bug747, etc.
2014-11-28 15:01:50 -05:00
Wilson Snyder
68c6f0ff07
Inline C functions that are used only once, msg1525.
2014-11-27 10:52:38 -05:00
Wilson Snyder
9837b40330
Remove SystemPerl tests
2014-11-27 08:30:54 -05:00
Wilson Snyder
9ec35a2348
New verilator_coverage and infrastructure to replace SystemPerl's vcoverage.
2014-11-23 21:06:10 -05:00
Wilson Snyder
87a47a5ca0
Remove PSL support
2014-11-22 10:14:14 -05:00
Wilson Snyder
41701673a0
devel release
2014-11-15 08:43:27 -05:00
Wilson Snyder
55f0d4ddd8
Version bump
2014-11-15 08:38:44 -05:00
Wilson Snyder
6d66fcaa57
Fix +define+A+B to define A and B to match other simulators, bug847.
2014-11-13 19:05:07 -05:00
Wilson Snyder
26e79ca889
Fix quoted comment slashes in defines, bug845.
2014-11-12 16:37:51 -05:00
Wilson Snyder
c5fd583b2c
Fix select when partially out-of-bound, bug823.
2014-11-09 18:29:52 -05:00
Wilson Snyder
117db3e11c
Trace_off now operates on cells, bug826.
2014-11-08 14:15:10 -05:00
Wilson Snyder
3f82fd2f37
Add public enums, bug833.
2014-11-07 07:50:11 -05:00
Wilson Snyder
e9c46afcf7
Fix public parameters in unused packages, bug804.
2014-11-06 17:53:01 -05:00
Wilson Snyder
3234fa15ef
Fix trace overflow on huge arrays, bug834.
2014-11-05 22:22:27 -05:00
Jie Xu
7ef84df852
Add optimization of wires from arrayed cells, msg1447.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2014-11-05 21:09:35 -05:00
Wilson Snyder
03100020ab
Fix not tracing modules following primitives, bug837.
2014-11-04 07:49:03 -05:00
Wilson Snyder
cf6d07aafa
Add optimization of operators between concats, msg1447.
2014-10-22 21:44:41 -04:00
Wilson Snyder
bfbca34eb0
Fix cast-to-size context-determined sizing, bug828.
2014-10-17 19:01:04 -04:00
Wilson Snyder
b73edc0564
Fix generate unrolling with function call, bug830.
2014-10-15 21:29:37 -04:00
Wilson Snyder
6ba90e3a50
devel release
2014-09-21 09:06:40 -04:00
Wilson Snyder
e8edbad18c
Version bump
2014-09-21 09:04:36 -04:00
Wilson Snyder
c86fec5307
Fix string formats under deep expressions, bug820.
2014-09-21 08:52:09 -04:00
Wilson Snyder
6e476255ca
Support power operator with real, bug809.
2014-09-21 08:24:44 -04:00
Wilson Snyder
27af9b6b06
Fix clang warnings, bug818.
2014-09-11 21:28:53 -04:00
Wilson Snyder
87b9cb49ad
Fix / multi-argument ordering, bug816.
2014-09-04 22:25:19 -04:00
Wilson Snyder
4f73e0850e
Improve verilator_profcfunc time attributions.
2014-08-28 22:10:39 -04:00
Wilson Snyder
b6a39db627
Fix optional parameter keyword in module #(), bug810.
2014-08-27 07:57:20 -04:00
Wilson Snyder
3a83b06572
Fix over-shift structure optimization error, bug803.
2014-07-28 07:31:01 -04:00
Wilson Snyder
fe5bf01b25
Fix dpiGetContext in dotted scopes, bug740.
2014-07-21 20:55:52 -04:00
Wilson Snyder
5559ec903b
Fix error when tracing public parameters, bug722.
2014-07-21 20:44:33 -04:00
Wilson Snyder
18750028b2
Fix mis-optimization of bit-swap in wide signal, bug800.
2014-07-04 09:13:59 -04:00
Wilson Snyder
8031f0ed7f
Fix duplicate anonymous structures in , bug788.
2014-06-15 11:18:47 -04:00
Wilson Snyder
3a56b5da47
devel release
2014-06-10 20:43:10 -04:00
Wilson Snyder
fedcb6d26a
Version bump
2014-06-10 20:39:51 -04:00
Wilson Snyder
e77b7427b4
Fix shift corner-case, bug 774.
2014-06-10 19:13:55 -04:00
Wilson Snyder
475e4207cc
Fix late constant division by zero giving X error, bug775.
2014-06-09 22:27:04 -04:00
Wilson Snyder
71b2eed32c
Fix false name conflict on cells in generate blocks, bug749.
2014-06-09 22:00:45 -04:00
Wilson Snyder
6cf50e6579
Fix string corruption, bug780.
2014-06-08 21:36:18 -04:00
Wilson Snyder
69468708e2
Fix DETECTARRAY error on packed arrays, bug770.
2014-06-07 09:53:40 -04:00
Wilson Snyder
5da5678e64
Fix pattern assignment to conditionals, bug769.
2014-06-06 21:52:16 -04:00
Wilson Snyder
a428e7f618
Fix pattern assignment to arrayed basic type, bug769.
2014-06-06 21:14:44 -04:00
Wilson Snyder
0eb5a0a539
Add -P to suppress `line and blanks with preprocessing, bug781.
2014-06-06 20:22:20 -04:00
Wilson Snyder
a1c1ff9981
Fix seg-fault with variable of parameterized interface, bug692.
2014-05-28 07:33:40 -04:00
Wilson Snyder
2029ade18c
Change SYMRSVDWORD to print as warning rather than error.
2014-05-28 07:24:02 -04:00
Wilson Snyder
5f8f474c0c
Fix shift with XOR mis-optimization, bug776.
2014-05-26 18:16:52 -04:00
Wilson Snyder
f705f9b275
Fix C compiler interpreting signing, bug773.
2014-05-24 08:00:01 -04:00
Wilson Snyder
91e706ec1f
Fix X shift issue, bug772.
2014-05-24 07:05:23 -04:00
Wilson Snyder
06744b664a
Fix huge shifts to zero with -Wno-WIDTH, bug768.
2014-05-16 07:09:43 -04:00
Wilson Snyder
d3049d9c89
Fix huge shifts to zero with -Wno-WIDTH, bug766.
2014-05-15 21:49:43 -04:00
Wilson Snyder
1f2abb9c0f
Fix gate primitives with arrays and non-arrayed pins.
2014-05-15 20:57:09 -04:00
Wilson Snyder
9f0d7e50a5
Using command line -Wno-{WARNING} now overrides file-local lint_on.
2014-05-15 20:54:45 -04:00
Wilson Snyder
5f262a8f11
Fix ENDLABEL warnings on escaped identifiers.
2014-05-15 20:52:22 -04:00
Wilson Snyder
f62bc6a2e5
Support SV 2012 package import before port list.
2014-05-15 20:50:42 -04:00
Wilson Snyder
d7e4bc1379
Fix huge shifts to zero with -Wno-WIDTH, bug765.
2014-05-13 08:10:59 -04:00
Wilson Snyder
cd2d6575c6
devel release
2014-05-11 16:58:01 -04:00
Wilson Snyder
b46f656d17
Version bump.
2014-05-11 16:51:56 -04:00
Wilson Snyder
6ce2a52c5f
Fix shift-right optmiization, bug763.
2014-05-10 16:38:20 -04:00
Wilson Snyder
1f56312132
Fix -Wno-UNOPTFLAT change detection with 64-bits, bug762.
2014-05-10 12:40:35 -04:00
Wilson Snyder
02331e5536
Fix begin_keywords 1800+VAMS, msg1211.
2014-05-08 07:15:44 -04:00
Wilson Snyder
4a58e859a4
Fix concats with no argments mis-sign extending, bug759.
2014-05-03 20:20:15 -04:00
Wilson Snyder
d532a36739
Fix change detection error on unions, bug758.
2014-05-02 08:14:23 -04:00
Wilson Snyder
84b91b19ca
Commentary
2014-04-29 22:02:48 -04:00
Wilson Snyder
aaea68d3d6
Rewrite V3Width for better spec adherence when -Wno-WIDTH.
2014-04-29 22:01:50 -04:00
Wilson Snyder
b0f4cf3c9c
Support {} in always sensitivity lists, bug745.
2014-04-21 19:39:28 -04:00
Wilson Snyder
2e10555f03
Fix tracing of packed arrays without --trace-structs, bug742.
2014-04-15 20:20:45 -04:00
Wilson Snyder
6b2ee0fcf3
Fix reporting struct members as reserved words, bug741.
2014-04-15 19:35:44 -04:00
Glen Gibb
d34275150c
Support streaming operators, bug649.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2014-04-09 20:29:35 -04:00
Wilson Snyder
d04eb977c2
Fix mis-extending red xor/xand operators.
2014-04-09 07:58:46 -04:00
Wilson Snyder
fb4928b2f5
Fix power calculation; setAllOnes should not set hidden state bits in V3Number.
2014-04-08 20:28:16 -04:00
Wilson Snyder
5c39420d91
Re-fix bug729 due to bug733; other internal sign extension cleanups too.
2014-04-07 21:34:00 -04:00
Wilson Snyder
14fcfd8a40
Fix signed extension problem with -Wno-WIDTH, bug729.
2014-04-05 15:52:05 -04:00
Wilson Snyder
ff19dd94f9
Fix power operator calculation, bug730.
2014-04-05 15:44:49 -04:00
Wilson Snyder
6cf6d9f7e1
Fix modport function import not-found error.
2014-04-03 21:53:39 -04:00
Wilson Snyder
28e35a64ea
Support parameter arrays, bug683.
2014-04-01 23:16:16 -04:00
Wilson Snyder
446b0e4e5e
Support '{} assignment pattern on arrays, bug355.
2014-03-30 20:41:20 -04:00
Wilson Snyder
40bceea68a
Fix missing coverage line on else-if, bug727.
2014-03-29 11:04:13 -04:00
Wilson Snyder
a3813f94fc
Add PINCONNECTEMPTY warning.
2014-03-27 21:36:52 -04:00
Holger Waechtler
9caffe330b
Fix Mac OS-X test issues.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2014-03-24 20:19:43 -04:00
Wilson Snyder
8d8c5da812
Add assertions on 'unique if', bug725.
2014-03-16 21:38:29 -04:00
Wilson Snyder
c18df68ead
Fix C++-2011 warnings.
2014-03-15 14:50:03 -04:00
Wilson Snyder
1bdf017f9e
PSL is no longer supported, please use System Verilog assertions.
2014-03-14 21:14:24 -04:00
Wilson Snyder
93790c1dc6
Fix tracing of package variables and real arrays.
2014-03-14 20:36:47 -04:00
Glen Gibb
b4eaaccc88
Documentation fixes, bug723.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2014-03-14 07:17:03 -04:00
Wilson Snyder
c9ed9e74f2
Add --no-trace-params.
2014-03-13 20:08:43 -04:00
Wilson Snyder
749ff021b6
Version bump
2014-03-11 19:48:57 -04:00
Wilson Snyder
85c5765c00
Fix parsing " #0 'b0", bug256.
2014-03-11 19:07:58 -04:00
Wilson Snyder
9e76078939
Fix signed shift right optimization, bug715.
2014-03-09 21:28:28 -04:00
Wilson Snyder
45bbae80e7
Add parameters into trace files, bug706.
2014-03-08 15:36:04 -05:00
Wilson Snyder
2560ae9bc1
Fix slice extraction from packed array, bug717.
2014-03-08 14:55:05 -05:00
Wilson Snyder
85d790ff79
Fix inside statement EQWILD error, bug718.
2014-03-08 13:33:44 -05:00
Wilson Snyder
68afc96a9f
Fix internal error on "input x =" syntax error, bug716.
2014-03-08 12:26:34 -05:00
Wilson Snyder
180af74a50
Fix missing VL_SHIFTRS_IQI with WIDTH warning, bug514.
2014-02-13 18:13:30 -05:00
Wilson Snyder
88af0d3509
Fix --skip-identical mis-detecting on OS-X, bug707.
2014-02-09 16:32:49 -05:00
Wilson Snyder
97633f7bed
Fix array bound checks on real variables.
2014-02-09 09:19:05 -05:00
Wilson Snyder
2d61e0270e
Support case inside, bug708.
2014-01-20 21:59:53 -05:00
Wilson Snyder
3a23afb0bc
devel release
2014-01-20 21:55:27 -05:00
Wilson Snyder
470f12ff46
Version bump
2014-01-18 13:16:08 -05:00
Wilson Snyder
4422de0c6c
Copyright year update.
2014-01-06 19:28:57 -05:00
Wilson Snyder
bcefc17631
Support modport import, bug696.
2013-12-21 06:51:15 -05:00
Wilson Snyder
b5f5b1fdf9
Fix wire declarations with size and not range, bug466.
2013-12-14 19:50:55 -05:00
Wilson Snyder
801b718953
Add --trace-structs to show struct names, bug673.
2013-12-14 19:13:31 -05:00
Wilson Snyder
5d233b8c09
Fix parameter pin vs. normal pin error, bug704.
2013-12-14 18:04:10 -05:00
Wilson Snyder
00724597f4
Fix tracing of packed structs, bug705.
2013-12-14 16:51:08 -05:00
Wilson Snyder
23539eb55c
Fix some delayed assignments of typedefed unpacked arrays. See following trace test.
2013-12-14 10:33:08 -05:00
Wilson Snyder
e69bf418de
Fix --lint-only with MinGW, msg1283.
2013-11-29 08:28:48 -05:00
Wilson Snyder
0e1fcd38bb
Version bump
2013-11-26 19:52:19 -05:00
Wilson Snyder
e74186565d
Add --compiler clang to work around compiler bug, bug694.
2013-11-26 18:46:55 -05:00
Wilson Snyder
7e54281e26
Fix array assignment from const var, bug693.
2013-10-31 22:39:26 -04:00
Wilson Snyder
ecfe0283e2
Fix crash with coverage of structures, bug691.
2013-10-29 20:15:01 -04:00
Wilson Snyder
9c9b4ed4e0
Fix vpi_remove_cb inside callback, bug689.
2013-10-28 21:00:40 -04:00
Wilson Snyder
4f6d80c602
Add UNPACKED warning to convert unpacked structs.
2013-10-28 20:41:05 -04:00
Wilson Snyder
b50542531d
Fix enum value extension of '1.
2013-10-28 20:24:31 -04:00
Wilson Snyder
36814b7681
Support vpi_get_time, bug688.
2013-10-22 22:02:53 -04:00
Wilson Snyder
82235e6c6f
Fix vpi_get of vpiSuppressVal, bug687.
2013-10-22 22:01:19 -04:00
Wilson Snyder
a8310f35f2
Fix evaluation of chained parameter functions, bug684.
2013-10-18 07:06:32 -04:00
Rich Porter
85989af031
Fix vpi_get of vpiSize, bug680.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2013-10-13 20:05:57 -04:00
Wilson Snyder
24e32420b7
Fix multiple VPI variable callbacks, bug679.
2013-10-03 07:24:50 -04:00
Wilson Snyder
39c494260b
devel release
2013-09-30 16:56:52 -04:00
Wilson Snyder
761b9c9290
Version bump
2013-09-30 16:53:45 -04:00
Wilson Snyder
27686d8c2f
Add --no-order-clock-delay to work around bug613.
2013-09-30 16:52:43 -04:00
Wilson Snyder
d2b007005d
devel release
2013-09-29 20:56:19 -04:00
Wilson Snyder
f1ef30a5bb
Version bump
2013-09-29 20:52:29 -04:00
Wilson Snyder
f1d9437c55
Report SELRANGE warning for non-generate if, bug675.
2013-09-10 07:16:13 -04:00
Wilson Snyder
bcba5075e8
Fix ordering of , msg1229.
2013-09-07 16:43:43 -04:00
Wilson Snyder
d6e8b0263c
Fix crash on 32-bit Ubuntu, bug670.
2013-09-03 21:40:43 -04:00
Wilson Snyder
9aba617bad
Fix --output-split-cfunc to count internal functions.
2013-09-03 19:35:32 -04:00
Wilson Snyder
c24f7b1391
Support named function and task arguments.
2013-08-17 20:34:49 -04:00
Wilson Snyder
d4e27b635f
devel release
2013-08-15 08:43:37 -04:00
Wilson Snyder
c996d2870c
Version bump
2013-08-15 08:39:46 -04:00
Wilson Snyder
236b9e9761
Fix parameter real conversion from integer.
2013-07-29 22:03:47 -04:00
Wilson Snyder
bebf5b291b
Fix final duplicate declarations when non-inlined, bug661.
2013-07-29 21:47:23 -04:00
Wilson Snyder
1e3dcd203d
Fix clang warning, bug668.
2013-07-29 10:37:58 -04:00
Wilson Snyder
1baa2a2558
Fix interface ports with comma lists, msg1058.
2013-06-13 19:38:18 -04:00
Wilson Snyder
6cf9468477
Fix vpi_iterate on memory words, bug655.
2013-06-13 07:58:52 -04:00
Jeremy Bennett
b277bc8750
Fix ordering of clock enables with delayed assigns, bug613.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2013-06-05 23:35:47 -04:00
Wilson Snyder
9cb9f9c80b
devel release
2013-06-02 14:52:19 -04:00
Wilson Snyder
7a65df7636
Version bump
2013-06-02 14:47:36 -04:00
Wilson Snyder
eab46d547d
Version bump.
2013-06-02 14:38:53 -04:00
Wilson Snyder
3dd552c4a2
Duplicate clock gate optimization on by default, use -Od to disable
2013-05-27 22:39:59 -04:00
Wilson Snyder
23bb045a72
Support interfaces and modports, bug102.
2013-05-27 21:39:19 -04:00
Wilson Snyder
48d177a9d0
Fix packed array select internal error, bug652.
2013-05-24 21:14:42 -04:00
Wilson Snyder
175d59ecba
Fix GCC version runtime changes, bug651.
2013-05-23 20:19:51 -04:00
Wilson Snyder
2c9dcc3913
Fix arrayed input compile error, bug645.
2013-05-15 22:00:28 -04:00
Wilson Snyder
0abde90933
Version bump
2013-05-11 16:11:38 -04:00
Wilson Snyder
53cd9d2403
Fix nested union crash, bug643.
2013-05-10 21:02:48 -04:00
Wilson Snyder
3d0f5fc078
Fix packed array non-zero right index select crash, bug642.
2013-05-10 07:09:25 -04:00
Wilson Snyder
54eedcc739
Support signal[vec]++.
2013-05-06 08:02:16 -04:00
Wilson Snyder
1bea845ceb
Fix simulation error when inputs and MULTIDRIVEN, bug634.
2013-05-02 08:23:17 -04:00
Wilson Snyder
d581582339
Add ALWCOMBORDER warning.
2013-04-30 22:55:28 -04:00
Wilson Snyder
345a5d5646
Add --pins-sc-uint and --pins-sc-biguint, bug638.
2013-04-26 21:02:32 -04:00
Wilson Snyder
464679c78b
Fix module resolution with __, bug631.
2013-03-12 07:27:17 -04:00
Wilson Snyder
28eeec1cf4
devel release
2013-03-09 16:48:10 -05:00
Wilson Snyder
7d0dce3267
Version bump
2013-03-09 16:44:48 -05:00
Wilson Snyder
9e29625207
Fix UNOPTFLAT circular array bounds crossing, bug630.
2013-03-08 19:25:20 -05:00
Wilson Snyder
a767da4f3f
Support <number>'() sized casts, bug628.
2013-03-05 22:13:22 -05:00
Wilson Snyder
70fd64dcd6
IEEE 1800-2012 is now the default language. This adds 4 new keywords and updates the svdpi.h and vpi_user.h header files.
2013-02-26 23:01:19 -05:00
Jeremy Bennett
bb2822f4b5
Add --report-unoptflat, bug611.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2013-02-26 22:26:47 -05:00
Wilson Snyder
e6808a787c
Fix opening a VerilatedVcdC file multiple times, msg1021.
2013-02-23 21:10:25 -05:00
Wilson Snyder
6594a54a95
Fix wrong dot resolution under inlining.
2013-02-21 23:38:29 -05:00
Varun Koyyalagunta
e0edb596ea
Add duplicate clock gate optimization, msg980.
...
Experimental and disabled unless -OD or -O3 used (for now),
Please try it as may get some significant speedups.
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2013-02-20 20:14:15 -05:00
Wilson Snyder
18eb210313
Support bind in , bug602.
2013-02-14 06:55:09 -05:00
Wilson Snyder
4386077e2d
Support pattern assignments with data type labels, bug618.
2013-02-13 20:52:38 -05:00
Wilson Snyder
49dbfd2131
Support pattern assignments in function calls, bug617.
2013-02-13 20:32:25 -05:00
Wilson Snyder
a80fce5ac1
Support pattern assignments to const variables, bug616.
2013-02-13 19:32:36 -05:00
Wilson Snyder
891b981cab
Fix LITENDIAN on unpacked structures, bug614.
2013-02-13 19:03:10 -05:00
Rich Porter
2dd87b8384
Fix 32-bit OS VPI scan issue, bug615.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2013-02-11 07:17:18 -05:00
Jeremy Bennett
062eb85075
Fix DETECTARRAY on packed structures, bug610.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2013-02-10 09:54:27 -05:00
Wilson Snyder
bcadb0b3b3
Version bump
2013-02-04 22:12:21 -05:00
Wilson Snyder
6e6f1045b8
Fix per-bit array output connection error, bug414.
2013-02-02 18:33:10 -05:00
Wilson Snyder
4968a2abc5
Support inside expressions.
2013-02-02 12:55:48 -05:00
Wilson Snyder
91159da30d
Fix enums with X values. Test in next commit.
2013-02-02 12:43:28 -05:00
Wilson Snyder
c9ad61b4fb
Support wires with data types, bug608.
2013-02-02 09:33:04 -05:00
Wilson Snyder
e1eb41fe77
Fix segfault on multidimensional dotted arrays, bug607.
2013-01-25 21:27:19 -05:00
Wilson Snyder
929aeebf12
Support , and related functions, bug448.
2013-01-20 12:19:22 -05:00
Wilson Snyder
d4ef86afc0
Fix signed/unsigned parameter misconversion, bug606.
2013-01-18 21:35:43 -05:00
Wilson Snyder
e7ba6ef492
Support VPI product info, warning calls, etc, bug588.
2013-01-17 21:40:37 -05:00
Wilson Snyder
385c166830
Fix package logic var compile error.
2013-01-17 19:04:36 -05:00
Wilson Snyder
6d1b42bedb
Fix implicit one bit parameter selection, bug603.
2013-01-16 20:58:48 -05:00
Wilson Snyder
2879684f21
Define SYSTEMVERILOG, SV_COV_START and other IEEE mandated predefines.
2013-01-16 19:11:56 -05:00
Wilson Snyder
0437d0abea
Fix pin width mismatch error, bug595.
2013-01-15 19:26:35 -05:00
Wilson Snyder
042fb76837
Tests
2013-01-14 23:39:56 -05:00
Wilson Snyder
795e66eac9
Support bind, to module names only, bug602.
2013-01-14 23:19:44 -05:00
Wilson Snyder
aae0615ffd
Commentary
2013-01-14 21:51:02 -05:00
Wilson Snyder
8127a79cb1
Fix nested packed arrays and structs, bug600.
...
IMPORTANT: Packed arrays are now represented as a single linear vector in
Verilated models this may affect packed arrays that are public or accessed via the VPI.
2013-01-14 21:49:22 -05:00
Wilson Snyder
5eca20f849
Version bump
2013-01-09 22:08:30 -05:00
Wilson Snyder
0a3a582949
Fix array extraction of implicit vars, bug601.
2013-01-09 19:00:12 -05:00
Wilson Snyder
08fec0534d
Fix package import preventing local var, bug599.
2013-01-08 19:06:52 -05:00
Wilson Snyder
5bf92c9d3a
Fix task inlining under case values, bug598. Note this reorders high level operations, so may change loose some optimizations.
2013-01-02 18:35:21 -05:00
Wilson Snyder
a8bbf7231b
Copyright year update.
2013-01-01 09:42:59 -05:00
Wilson Snyder
229d854607
Fix package resolution of parameters, bug586.
2012-12-31 17:05:13 -05:00
Wilson Snyder
98f68e46d6
Fix package import of package imports, partial bug592.
2012-12-17 20:26:40 -05:00
Wilson Snyder
27660b271d
Fix package import of non-localparam parameter, bug591.
2012-12-17 19:07:23 -05:00
Wilson Snyder
4c7f051247
Fix task inlining under , bug589.
2012-12-15 21:41:37 -05:00
Wilson Snyder
e68afa53a8
Fix non-integer vpi_get_value, bug587.
2012-12-06 09:40:16 -05:00
Wilson Snyder
cc47ba2404
Support "unsigned int" DPI import functions, msg966.
2012-12-03 20:43:13 -05:00
Wilson Snyder
2433848408
Version bump - changelog
2012-12-01 16:38:21 -05:00
Wilson Snyder
f607b32938
Fix crash on dotted references into dead modules, bug583.
2012-11-30 06:57:36 -05:00
Wilson Snyder
30f6c0e105
Fix mis-optimized identical submodule subtract, bug581.
2012-11-28 07:36:47 -05:00
Jeremy Bennett
39a31fc17f
Fix --debug overriding preceding --dump-treei, bug580.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2012-11-21 06:55:28 -05:00
Wilson Snyder
899a7df14a
Fix VerilatedSave compile issue on MSVCC, bug577.
2012-11-13 20:36:20 -05:00
Wilson Snyder
7a8c425103
Add +1364-1995ext and similar language options, bug532.
2012-11-13 20:12:23 -05:00
Wilson Snyder
0e8ce59022
Fix svdpi compile issue on MSVCC, bug571.
2012-11-05 10:22:19 -05:00
Wilson Snyder
77ac76a158
devel release
2012-11-03 20:19:31 -04:00
Wilson Snyder
8108b97050
Version bump
2012-11-03 20:16:01 -04:00
Wilson Snyder
a1c3491dea
Display speed ups, bug574.
2012-11-03 20:11:53 -04:00
Wilson Snyder
6cd9b25a53
Fix array of struct references giving false error, bug566.
2012-11-03 09:17:42 -04:00
Wilson Snyder
0431b1909c
Fix name collision on task inputs, bug569.
2012-11-03 08:01:19 -04:00
Wilson Snyder
907665e2e8
Fix name collision on unnamed blocks, bug567.
2012-11-02 20:30:47 -04:00
Wilson Snyder
61e8836fbd
Add -x-initial-edge, bug570. by Jeremy Bennett; from BENNETT/initial-edge branch.
2012-11-02 19:55:34 -04:00
Wilson Snyder
0e921b5dff
Speed display, bug373.
2012-11-02 17:54:14 -04:00
Wilson Snyder
7ef37d6e17
Fix missing var access functions when no DPI, bug572.
2012-10-30 03:02:35 -04:00
Wilson Snyder
dce227684a
Fix mangling on GCC 4.7, msg927.
2012-10-28 17:35:48 -04:00
Wilson Snyder
e7d63c7644
Fix large shift error on large shift constants.
2012-10-08 07:05:54 -04:00
Wilson Snyder
c378d32c85
Fix parameter pins interspersed with cells broke in 3.840.
2012-09-07 19:51:41 -04:00
Wilson Snyder
39724eb916
Version bump
2012-09-03 20:03:25 -04:00
Wilson Snyder
9c00fd10de
Add --savable to support model save/restore.
2012-08-26 21:19:43 -04:00
Wilson Snyder
f0e1d204fa
Fix triangle symbol resolution error broke in 3.840, bug550.
...
This requires the parse symbol table persist across all parse runs. This is
probably more correct than before, but may result in some fallout if people
relied on data types not being persistant across separately parsed cells.
2012-08-15 21:28:30 -04:00
Wilson Snyder
d3601dd561
Support '{} assignment pattern on structures, part of bug355.
2012-08-12 15:15:21 -04:00
Wilson Snyder
f685cf1d0c
Fix duplicate begin error broke in 3.840, bug548.
2012-08-10 19:39:18 -04:00
Wilson Snyder
5f9810070d
Fix imports under multiple instantiated cells, bug542.
2012-08-08 21:59:17 -04:00
Wilson Snyder
b51d197117
Fix defparam in generate broke in 3.840, bug543.
2012-08-07 18:24:51 -04:00
Wilson Snyder
e4f0a8952c
Fix double-deep parameter cell WIDTHs, bug541.
2012-08-02 07:02:57 -04:00
Wilson Snyder
b4d5a20670
Version bump
2012-07-31 18:09:00 -04:00
Wilson Snyder
6339159b04
MAJOR: Support packed structures and unions, bug181.
2012-07-29 10:16:20 -04:00
Wilson Snyder
d2ede75c71
Fix compile error under git submodules, bug534.
2012-07-22 19:51:14 -04:00
Wilson Snyder
b18690cbe2
Linking renames. Merge from dot. No functional change.
2012-07-17 21:29:10 -04:00
Wilson Snyder
96155365b2
Fix GCC 4.7.0 compile warnings, bug530.
2012-07-15 12:27:36 -04:00
Wilson Snyder
3e5067feb1
Fix svdpi.h for apple.
2012-07-15 11:33:27 -04:00
Wilson Snyder
ee1643ce39
Fix false UNUSED warning on file system calls.
2012-06-03 08:20:12 -04:00
Wilson Snyder
a82cdcfe48
Add --converge-limit option.
2012-05-31 18:56:31 -04:00
Wilson Snyder
1bc1ee9e08
Fix duplicate warnings/errors, bug516.
2012-05-21 21:31:52 -04:00
Wilson Snyder
280f674ce9
Fix signed extending biops with WIDTH warning off, bug511.
2012-05-16 22:18:52 -04:00
Wilson Snyder
a9a49345ba
Fix loop error message to report line, bug513.
2012-05-16 19:31:24 -04:00
Wilson Snyder
0c1e184cb7
Fix newlines in radix values, bug507.
2012-05-07 08:58:29 -04:00
Wilson Snyder
5fc98cce0d
Fix parameters not supported in constant functions, bug474.
2012-05-03 21:59:47 -04:00
Wilson Snyder
6aab0f627c
Fix input and real loosing real data type, bug501.
2012-05-02 20:53:38 -04:00
Wilson Snyder
87e8736823
IMPORTANT: Major internal changes for supporting complex data types.
...
Adds dtype() to every node, keep global table of dtypes and remove duplicates.
Final merge from dtype branch.
2012-04-29 10:14:13 -04:00
Wilson Snyder
2e4da07a15
Fix ITOD internal error on real conversions, bug491.
2012-04-26 22:30:22 -04:00
Wilson Snyder
c75de0f37c
Add INITIALDLY warning on initial assignments, bug478.
2012-04-26 20:40:13 -04:00
Wilson Snyder
60a637921c
Add PINMISSING and PINNOCONNECT lint checks.
2012-04-26 18:46:44 -04:00
Wilson Snyder
f3867d7f80
Fix imports causing symbol table error, bug490.
2012-04-24 21:21:26 -04:00
Wilson Snyder
ffbd595d88
Support nmos and pmos, bug488.
2012-04-23 20:13:07 -04:00
Wilson Snyder
9734931f67
IMPORTANT: Rewrite tristate handling, tri0, tri1, etc.
2012-04-21 21:45:28 -04:00
Wilson Snyder
2d8feabe54
Fix generate operators not short circuiting, bug413. Merge from Jeremy Bennett.
2012-04-19 22:53:52 -04:00
Wilson Snyder
897d5c58b3
Devel version
2012-04-16 20:20:58 -04:00
Wilson Snyder
0029d068c7
Version bump
2012-04-15 16:21:31 -04:00
Wilson Snyder
946d0cd219
Add readme.pdf and internal.pdf
2012-04-15 14:51:04 -04:00
Wilson Snyder
e0391b93c4
Commentary
2012-04-12 06:48:02 -04:00
Wilson Snyder
4a5e775a2b
Fix change detections on arrays.
2012-04-09 20:17:51 -04:00