mirror of
https://github.com/verilator/verilator.git
synced 2025-04-04 19:52:39 +00:00
This may require that SystemC programs add: using namespace sc_core; using namespace sc_dt;
This commit is contained in:
parent
a87fb57656
commit
98252634fc
@ -19,6 +19,7 @@ Anthony Donlon
|
||||
Arkadiusz Kozdra
|
||||
Aylon Chaim Porat
|
||||
Cameron Kirk
|
||||
Chih-Mao Chen
|
||||
Chris Randall
|
||||
Chuxuan Wang
|
||||
Conor McCullough
|
||||
|
@ -24,6 +24,7 @@
|
||||
#include "Vtop.h"
|
||||
|
||||
using namespace sc_core;
|
||||
using namespace sc_dt;
|
||||
|
||||
int sc_main(int argc, char* argv[]) {
|
||||
// This is a more complicated example, please also see the simpler examples/make_hello_c.
|
||||
@ -52,7 +53,7 @@ int sc_main(int argc, char* argv[]) {
|
||||
Verilated::commandArgs(argc, argv);
|
||||
|
||||
// General logfile
|
||||
ios::sync_with_stdio();
|
||||
std::ios::sync_with_stdio();
|
||||
|
||||
// Define clocks
|
||||
sc_clock clk{"clk", 10, SC_NS, 0.5, 3, SC_NS, true};
|
||||
|
@ -449,7 +449,7 @@ static inline void VL_ASSIGNBIT_WO(int bit, WDataOutP owp) VL_MT_SAFE {
|
||||
#define VL_ASSIGN_WSB(obits, owp, svar) \
|
||||
{ \
|
||||
const int words = VL_WORDS_I(obits); \
|
||||
sc_biguint<(obits)> _butemp = (svar).read(); \
|
||||
sc_dt::sc_biguint<(obits)> _butemp = (svar).read(); \
|
||||
uint32_t* chunkp = _butemp.get_raw(); \
|
||||
int32_t lsb = 0; \
|
||||
while (lsb < obits - BITS_PER_DIGIT) { \
|
||||
@ -475,20 +475,20 @@ static inline void VL_ASSIGNBIT_WO(int bit, WDataOutP owp) VL_MT_SAFE {
|
||||
|
||||
#define VL_ASSIGN_SWI(obits, svar, rd) \
|
||||
{ \
|
||||
sc_bv<(obits)> _bvtemp; \
|
||||
sc_dt::sc_bv<(obits)> _bvtemp; \
|
||||
_bvtemp.set_word(0, (rd)); \
|
||||
(svar).write(_bvtemp); \
|
||||
}
|
||||
#define VL_ASSIGN_SWQ(obits, svar, rd) \
|
||||
{ \
|
||||
sc_bv<(obits)> _bvtemp; \
|
||||
sc_dt::sc_bv<(obits)> _bvtemp; \
|
||||
_bvtemp.set_word(0, static_cast<IData>(rd)); \
|
||||
_bvtemp.set_word(1, static_cast<IData>((rd) >> VL_IDATASIZE)); \
|
||||
(svar).write(_bvtemp); \
|
||||
}
|
||||
#define VL_ASSIGN_SWW(obits, svar, rwp) \
|
||||
{ \
|
||||
sc_bv<(obits)> _bvtemp; \
|
||||
sc_dt::sc_bv<(obits)> _bvtemp; \
|
||||
for (int i = 0; i < VL_WORDS_I(obits); ++i) _bvtemp.set_word(i, (rwp)[i]); \
|
||||
(svar).write(_bvtemp); \
|
||||
}
|
||||
@ -504,7 +504,7 @@ static inline void VL_ASSIGNBIT_WO(int bit, WDataOutP owp) VL_MT_SAFE {
|
||||
#define VL_SC_BITS_PER_DIGIT 30 // This comes from sc_nbdefs.h BITS_PER_DIGIT
|
||||
#define VL_ASSIGN_SBW(obits, svar, rwp) \
|
||||
{ \
|
||||
sc_biguint<(obits)> _butemp; \
|
||||
sc_dt::sc_biguint<(obits)> _butemp; \
|
||||
int32_t lsb = 0; \
|
||||
uint32_t* chunkp = _butemp.get_raw(); \
|
||||
while (lsb + VL_SC_BITS_PER_DIGIT < (obits)) { \
|
||||
|
@ -688,13 +688,14 @@ string AstVar::dpiTmpVarType(const string& varName) const {
|
||||
|
||||
string AstVar::scType() const {
|
||||
if (isScBigUint()) {
|
||||
return (string{"sc_biguint<"} + cvtToStr(widthMin())
|
||||
return (string{"sc_dt::sc_biguint<"} + cvtToStr(widthMin())
|
||||
+ "> "); // Keep the space so don't get >>
|
||||
} else if (isScUint()) {
|
||||
return (string{"sc_uint<"} + cvtToStr(widthMin())
|
||||
return (string{"sc_dt::sc_uint<"} + cvtToStr(widthMin())
|
||||
+ "> "); // Keep the space so don't get >>
|
||||
} else if (isScBv()) {
|
||||
return (string{"sc_bv<"} + cvtToStr(widthMin()) + "> "); // Keep the space so don't get >>
|
||||
return (string{"sc_dt::sc_bv<"} + cvtToStr(widthMin())
|
||||
+ "> "); // Keep the space so don't get >>
|
||||
} else if (widthMin() == 1) {
|
||||
return "bool";
|
||||
} else if (widthMin() <= VL_IDATASIZE) {
|
||||
|
@ -153,14 +153,14 @@ void EmitCBaseVisitorConst::emitVarDecl(const AstVar* nodep, bool asRef) {
|
||||
if (nodep->isIO() && nodep->isSc()) {
|
||||
UASSERT_OBJ(basicp, nodep, "Unimplemented: Outputting this data type");
|
||||
if (nodep->attrScClocked() && nodep->isReadOnly()) {
|
||||
puts("sc_in_clk ");
|
||||
puts("sc_core::sc_in_clk ");
|
||||
} else {
|
||||
if (nodep->isInoutish()) {
|
||||
puts("sc_inout<");
|
||||
puts("sc_core::sc_inout<");
|
||||
} else if (nodep->isWritable()) {
|
||||
puts("sc_out<");
|
||||
puts("sc_core::sc_out<");
|
||||
} else if (nodep->isNonOutput()) {
|
||||
puts("sc_in<");
|
||||
puts("sc_core::sc_in<");
|
||||
} else {
|
||||
nodep->v3fatalSrc("Unknown type");
|
||||
}
|
||||
|
@ -112,7 +112,7 @@ class EmitCModel final : public EmitCFunc {
|
||||
}
|
||||
}
|
||||
}
|
||||
if (optSystemC() && v3Global.usesTiming()) puts("sc_event trigger_eval;\n");
|
||||
if (optSystemC() && v3Global.usesTiming()) puts("sc_core::sc_event trigger_eval;\n");
|
||||
|
||||
// Cells instantiated by the top level (for access to /* verilator public */)
|
||||
puts("\n// CELLS\n"
|
||||
@ -200,7 +200,7 @@ class EmitCModel final : public EmitCFunc {
|
||||
}
|
||||
if (v3Global.opt.trace() && optSystemC()) {
|
||||
puts("/// SC tracing; avoid overloaded virtual function lint warning\n");
|
||||
puts("void trace(sc_trace_file* tfp) const override { "
|
||||
puts("void trace(sc_core::sc_trace_file* tfp) const override { "
|
||||
"::sc_core::sc_module::trace(tfp); }\n");
|
||||
}
|
||||
|
||||
@ -255,7 +255,7 @@ class EmitCModel final : public EmitCFunc {
|
||||
puts("\n");
|
||||
puts(topClassName() + "::" + topClassName());
|
||||
if (optSystemC()) {
|
||||
puts("(sc_module_name /* unused */)\n");
|
||||
puts("(sc_core::sc_module_name /* unused */)\n");
|
||||
puts(" : VerilatedModel{*Verilated::threadContextp()}\n");
|
||||
puts(" , vlSymsp{new " + symClassName() + "(contextp(), name(), this)}\n");
|
||||
} else {
|
||||
@ -364,7 +364,8 @@ class EmitCModel final : public EmitCFunc {
|
||||
puts("\nvoid " + topClassName() + "::eval() {\n");
|
||||
puts("eval_step();\n");
|
||||
puts("if (eventsPending()) {\n");
|
||||
puts("sc_time dt = sc_time::from_value(nextTimeSlot() - contextp()->time());\n");
|
||||
puts("sc_core::sc_time dt = sc_core::sc_time::from_value(nextTimeSlot() - "
|
||||
"contextp()->time());\n");
|
||||
puts("next_trigger(dt, trigger_eval);\n");
|
||||
puts("} else {\n");
|
||||
puts("next_trigger(trigger_eval);\n");
|
||||
|
@ -275,7 +275,7 @@ public:
|
||||
void putsHeader() override { puts("// Verilated -*- SystemC -*-\n"); }
|
||||
void putsIntTopInclude() override {
|
||||
putsForceIncs();
|
||||
puts("#include \"systemc.h\"\n");
|
||||
puts("#include \"systemc\"\n");
|
||||
puts("#include \"verilated_sc.h\"\n");
|
||||
}
|
||||
};
|
||||
|
@ -28,6 +28,7 @@ int main()
|
||||
tb = new VM_PREFIX{"tb"};
|
||||
|
||||
#ifdef SYSTEMC_VERSION
|
||||
using namespace sc_core;
|
||||
sc_signal<uint32_t> i3;
|
||||
sc_signal<uint32_t> o3;
|
||||
sc_signal<uint32_t> i34[4];
|
||||
|
@ -6,6 +6,8 @@
|
||||
#include VM_PREFIX_INCLUDE
|
||||
#include "Vt_sc_names.h"
|
||||
|
||||
using namespace sc_core;
|
||||
|
||||
VM_PREFIX* tb = nullptr;
|
||||
|
||||
int sc_main(int argc, char* argv[]) {
|
||||
|
@ -18,27 +18,27 @@ compile(
|
||||
);
|
||||
|
||||
if ($Self->{vlt_all}) {
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<1>\s> \s+ &i1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<8>\s> \s+ &i8;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<16>\s> \s+ &i16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<32>\s> \s+ &i32;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<64>\s> \s+ &i64;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<65>\s> \s+ &i65;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<1>\s> \s+ &ibv1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<16>\s> \s+ &ibv16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<1>\s> \s+ &ibv1_vlt;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<16>\s> \s+ &ibv16_vlt;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<1>\s> \s+ &i1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<8>\s> \s+ &i8;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<16>\s> \s+ &i16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<32>\s> \s+ &i32;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<64>\s> \s+ &i64;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<65>\s> \s+ &i65;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<1>\s> \s+ &ibv1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<16>\s> \s+ &ibv16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<1>\s> \s+ &ibv1_vlt;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<16>\s> \s+ &ibv16_vlt;/x);
|
||||
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<1>\s> \s+ &o1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<8>\s> \s+ &o8;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<16>\s> \s+ &o16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<32>\s> \s+ &o32;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<64>\s> \s+ &o64;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<65>\s> \s+ &o65;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<1>\s> \s+ &obv1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<16>\s> \s+ &obv16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<1>\s> \s+ &obv1_vlt;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<16>\s> \s+ &obv16_vlt;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<1>\s> \s+ &o1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<8>\s> \s+ &o8;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<16>\s> \s+ &o16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<32>\s> \s+ &o32;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<64>\s> \s+ &o64;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<65>\s> \s+ &o65;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<1>\s> \s+ &obv1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<16>\s> \s+ &obv16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<1>\s> \s+ &obv1_vlt;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<16>\s> \s+ &obv16_vlt;/x);
|
||||
}
|
||||
|
||||
execute();
|
||||
|
@ -18,27 +18,27 @@ compile(
|
||||
);
|
||||
|
||||
{
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<bool> \s+ &i1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<8>\s> \s+ &i8;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<16>\s> \s+ &i16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<32>\s> \s+ &i32;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<64>\s> \s+ &i64;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<65>\s> \s+ &i65;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<1>\s> \s+ &ibv1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<16>\s> \s+ &ibv16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<1>\s> \s+ &ibv1_vlt;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<16>\s> \s+ &ibv16_vlt;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<bool> \s+ &i1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<8>\s> \s+ &i8;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<16>\s> \s+ &i16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<32>\s> \s+ &i32;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<64>\s> \s+ &i64;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<65>\s> \s+ &i65;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<1>\s> \s+ &ibv1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<16>\s> \s+ &ibv16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<1>\s> \s+ &ibv1_vlt;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<16>\s> \s+ &ibv16_vlt;/x);
|
||||
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<bool> \s+ &o1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<8>\s> \s+ &o8;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<16>\s> \s+ &o16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<32>\s> \s+ &o32;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<64>\s> \s+ &o64;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<65>\s> \s+ &o65;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<1>\s> \s+ &obv1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<16>\s> \s+ &obv16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<1>\s> \s+ &obv1_vlt;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<16>\s> \s+ &obv16_vlt;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<bool> \s+ &o1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<8>\s> \s+ &o8;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<16>\s> \s+ &o16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<32>\s> \s+ &o32;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<64>\s> \s+ &o64;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<65>\s> \s+ &o65;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<1>\s> \s+ &obv1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<16>\s> \s+ &obv16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<1>\s> \s+ &obv1_vlt;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<16>\s> \s+ &obv16_vlt;/x);
|
||||
}
|
||||
|
||||
execute();
|
||||
|
@ -18,27 +18,27 @@ compile(
|
||||
);
|
||||
|
||||
{
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<bool> \s+ &i1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<uint32_t> \s+ &i8;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<uint32_t> \s+ &i16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<uint32_t> \s+ &i32;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<64>\s> \s+ &i64;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<65>\s> \s+ &i65;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<1>\s> \s+ &ibv1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<16>\s> \s+ &ibv16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<1>\s> \s+ &ibv1_vlt;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<16>\s> \s+ &ibv16_vlt;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<bool> \s+ &i1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<uint32_t> \s+ &i8;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<uint32_t> \s+ &i16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<uint32_t> \s+ &i32;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<64>\s> \s+ &i64;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<65>\s> \s+ &i65;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<1>\s> \s+ &ibv1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<16>\s> \s+ &ibv16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<1>\s> \s+ &ibv1_vlt;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<16>\s> \s+ &ibv16_vlt;/x);
|
||||
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<bool> \s+ &o1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<uint32_t> \s+ &o8;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<uint32_t> \s+ &o16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<uint32_t> \s+ &o32;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<64>\s> \s+ &o64;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<65>\s> \s+ &o65;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<1>\s> \s+ &obv1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<16>\s> \s+ &obv16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<1>\s> \s+ &obv1_vlt;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<16>\s> \s+ &obv16_vlt;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<bool> \s+ &o1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<uint32_t> \s+ &o8;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<uint32_t> \s+ &o16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<uint32_t> \s+ &o32;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<64>\s> \s+ &o64;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<65>\s> \s+ &o65;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<1>\s> \s+ &obv1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<16>\s> \s+ &obv16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<1>\s> \s+ &obv1_vlt;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<16>\s> \s+ &obv16_vlt;/x);
|
||||
}
|
||||
|
||||
execute();
|
||||
|
@ -18,27 +18,27 @@ compile(
|
||||
);
|
||||
|
||||
if ($Self->{vlt_all}) {
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<bool> \s+ &i1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<uint32_t> \s+ &i8;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<uint32_t> \s+ &i16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<uint32_t> \s+ &i32;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<uint64_t> \s+ &i64;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<65>\s> \s+ &i65;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<1>\s> \s+ &ibv1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<16>\s> \s+ &ibv16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<1>\s> \s+ &ibv1_vlt;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<16>\s> \s+ &ibv16_vlt;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<bool> \s+ &i1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<uint32_t> \s+ &i8;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<uint32_t> \s+ &i16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<uint32_t> \s+ &i32;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<uint64_t> \s+ &i64;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<65>\s> \s+ &i65;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<1>\s> \s+ &ibv1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<16>\s> \s+ &ibv16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<1>\s> \s+ &ibv1_vlt;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<16>\s> \s+ &ibv16_vlt;/x);
|
||||
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<bool> \s+ &o1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<uint32_t> \s+ &o8;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<uint32_t> \s+ &o16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<uint32_t> \s+ &o32;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<uint64_t> \s+ &o64;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<65>\s> \s+ &o65;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<1>\s> \s+ &obv1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<16>\s> \s+ &obv16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<1>\s> \s+ &obv1_vlt;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<16>\s> \s+ &obv16_vlt;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<bool> \s+ &o1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<uint32_t> \s+ &o8;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<uint32_t> \s+ &o16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<uint32_t> \s+ &o32;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<uint64_t> \s+ &o64;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<65>\s> \s+ &o65;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<1>\s> \s+ &obv1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<16>\s> \s+ &obv16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<1>\s> \s+ &obv1_vlt;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<16>\s> \s+ &obv16_vlt;/x);
|
||||
}
|
||||
|
||||
execute();
|
||||
|
@ -18,27 +18,27 @@ compile(
|
||||
);
|
||||
|
||||
if ($Self->{vlt_all}) {
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<bool> \s+ &i1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<uint32_t> \s+ &i8;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<uint32_t> \s+ &i16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<uint32_t> \s+ &i32;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<uint64_t> \s+ &i64;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_biguint<65>\s> \s+ &i65;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_biguint<128>\s> \s+ &i128;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<513>\s> \s+ &i513;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<1>\s> \s+ &ibv1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<16>\s> \s+ &ibv16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<bool> \s+ &i1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<uint32_t> \s+ &i8;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<uint32_t> \s+ &i16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<uint32_t> \s+ &i32;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<uint64_t> \s+ &i64;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_biguint<65>\s> \s+ &i65;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_biguint<128>\s> \s+ &i128;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<513>\s> \s+ &i513;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<1>\s> \s+ &ibv1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<16>\s> \s+ &ibv16;/x);
|
||||
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<bool> \s+ &o1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<uint32_t> \s+ &o8;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<uint32_t> \s+ &o16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<uint32_t> \s+ &o32;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<uint64_t> \s+ &o64;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_biguint<65>\s> \s+ &o65;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_biguint<128>\s> \s+ &o128;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<513>\s> \s+ &o513;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<1>\s> \s+ &obv1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<16>\s> \s+ &obv16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<bool> \s+ &o1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<uint32_t> \s+ &o8;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<uint32_t> \s+ &o16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<uint32_t> \s+ &o32;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<uint64_t> \s+ &o64;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_biguint<65>\s> \s+ &o65;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_biguint<128>\s> \s+ &o128;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<513>\s> \s+ &o513;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<1>\s> \s+ &obv1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<16>\s> \s+ &obv16;/x);
|
||||
}
|
||||
|
||||
execute();
|
||||
|
@ -18,27 +18,27 @@ compile(
|
||||
);
|
||||
|
||||
{
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<bool> \s+ &i1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_uint<8>\s> \s+ &i8;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_uint<16>\s> \s+ &i16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_uint<32>\s> \s+ &i32;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_uint<64>\s> \s+ &i64;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<65>\s> \s+ &i65;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<128>\s> \s+ &i128;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<513>\s> \s+ &i513;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<1>\s> \s+ &ibv1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<16>\s> \s+ &ibv16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<bool> \s+ &i1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_uint<8>\s> \s+ &i8;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_uint<16>\s> \s+ &i16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_uint<32>\s> \s+ &i32;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_uint<64>\s> \s+ &i64;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<65>\s> \s+ &i65;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<128>\s> \s+ &i128;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<513>\s> \s+ &i513;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<1>\s> \s+ &ibv1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<16>\s> \s+ &ibv16;/x);
|
||||
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<bool> \s+ &o1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_uint<8>\s> \s+ &o8;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_uint<16>\s> \s+ &o16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_uint<32>\s> \s+ &o32;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_uint<64>\s> \s+ &o64;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<65>\s> \s+ &o65;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<128>\s> \s+ &o128;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<513>\s> \s+ &o513;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<1>\s> \s+ &obv1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<16>\s> \s+ &obv16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<bool> \s+ &o1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_uint<8>\s> \s+ &o8;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_uint<16>\s> \s+ &o16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_uint<32>\s> \s+ &o32;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_uint<64>\s> \s+ &o64;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<65>\s> \s+ &o65;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<128>\s> \s+ &o128;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<513>\s> \s+ &o513;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<1>\s> \s+ &obv1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<16>\s> \s+ &obv16;/x);
|
||||
}
|
||||
|
||||
execute();
|
||||
|
@ -18,27 +18,27 @@ compile(
|
||||
);
|
||||
|
||||
{
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<bool> \s+ &i1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_uint<8>\s> \s+ &i8;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_uint<16>\s> \s+ &i16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_uint<32>\s> \s+ &i32;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_uint<64>\s> \s+ &i64;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_biguint<65>\s> \s+ &i65;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_biguint<128>\s> \s+ &i128;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<513>\s> \s+ &i513;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<1>\s> \s+ &ibv1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<16>\s> \s+ &ibv16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<bool> \s+ &i1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_uint<8>\s> \s+ &i8;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_uint<16>\s> \s+ &i16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_uint<32>\s> \s+ &i32;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_uint<64>\s> \s+ &i64;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_biguint<65>\s> \s+ &i65;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_biguint<128>\s> \s+ &i128;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<513>\s> \s+ &i513;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<1>\s> \s+ &ibv1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<16>\s> \s+ &ibv16;/x);
|
||||
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<bool> \s+ &o1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_uint<8>\s> \s+ &o8;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_uint<16>\s> \s+ &o16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_uint<32>\s> \s+ &o32;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_uint<64>\s> \s+ &o64;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_biguint<65>\s> \s+ &o65;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_biguint<128>\s> \s+ &o128;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<513>\s> \s+ &o513;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<1>\s> \s+ &obv1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<16>\s> \s+ &obv16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<bool> \s+ &o1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_uint<8>\s> \s+ &o8;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_uint<16>\s> \s+ &o16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_uint<32>\s> \s+ &o32;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_uint<64>\s> \s+ &o64;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_biguint<65>\s> \s+ &o65;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_biguint<128>\s> \s+ &o128;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<513>\s> \s+ &o513;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<1>\s> \s+ &obv1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<16>\s> \s+ &obv16;/x);
|
||||
}
|
||||
|
||||
execute();
|
||||
|
@ -18,23 +18,23 @@ compile(
|
||||
);
|
||||
|
||||
if ($Self->{vlt_all}) {
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<bool> \s+ &i1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<uint8_t> \s+ &i8;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<uint16_t> \s+ &i16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<uint32_t> \s+ &i32;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<uint64_t> \s+ &i64;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<65>\s> \s+ &i65;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<1>\s> \s+ &ibv1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in<sc_bv<16>\s> \s+ &ibv16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<bool> \s+ &i1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<uint8_t> \s+ &i8;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<uint16_t> \s+ &i16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<uint32_t> \s+ &i32;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<uint64_t> \s+ &i64;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<65>\s> \s+ &i65;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<1>\s> \s+ &ibv1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in<sc_dt::sc_bv<16>\s> \s+ &ibv16;/x);
|
||||
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<bool> \s+ &o1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<uint8_t> \s+ &o8;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<uint16_t> \s+ &o16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<uint32_t> \s+ &o32;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<uint64_t> \s+ &o64;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<65>\s> \s+ &o65;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<1>\s> \s+ &obv1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out<sc_bv<16>\s> \s+ &obv16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<bool> \s+ &o1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<uint8_t> \s+ &o8;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<uint16_t> \s+ &o16;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<uint32_t> \s+ &o32;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<uint64_t> \s+ &o64;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<65>\s> \s+ &o65;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<1>\s> \s+ &obv1;/x);
|
||||
file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out<sc_dt::sc_bv<16>\s> \s+ &obv16;/x);
|
||||
}
|
||||
|
||||
execute();
|
||||
|
@ -6,6 +6,9 @@
|
||||
|
||||
#include VM_PREFIX_INCLUDE
|
||||
|
||||
using namespace sc_core;
|
||||
using namespace sc_dt;
|
||||
|
||||
VM_PREFIX* tb = nullptr;
|
||||
bool pass = true;
|
||||
|
||||
|
Loading…
Reference in New Issue
Block a user