From 98252634fc394b7f2c525daa6ad4b2a42b29e534 Mon Sep 17 00:00:00 2001 From: Chih-Mao Chen Date: Fri, 27 Oct 2023 02:36:18 +0800 Subject: [PATCH] Include systemc instead of systemc.h in model header files (#4622) (#4623) This may require that SystemC programs add: using namespace sc_core; using namespace sc_dt; --- docs/CONTRIBUTORS | 1 + examples/make_tracing_sc/sc_main.cpp | 3 +- include/verilated_funcs.h | 10 ++--- src/V3AstNodes.cpp | 7 ++-- src/V3EmitCBase.cpp | 8 ++-- src/V3EmitCModel.cpp | 9 +++-- src/V3File.h | 2 +- test_regress/t/t_mem_multi_io2.cpp | 1 + test_regress/t/t_sc_names.cpp | 2 + test_regress/t/t_var_pins_sc1.pl | 40 ++++++++++---------- test_regress/t/t_var_pins_sc2.pl | 40 ++++++++++---------- test_regress/t/t_var_pins_sc32.pl | 40 ++++++++++---------- test_regress/t/t_var_pins_sc64.pl | 40 ++++++++++---------- test_regress/t/t_var_pins_sc_biguint.pl | 40 ++++++++++---------- test_regress/t/t_var_pins_sc_uint.pl | 40 ++++++++++---------- test_regress/t/t_var_pins_sc_uint_biguint.pl | 40 ++++++++++---------- test_regress/t/t_var_pins_scui.pl | 32 ++++++++-------- test_regress/t/t_var_sc_bv.cpp | 3 ++ 18 files changed, 184 insertions(+), 174 deletions(-) diff --git a/docs/CONTRIBUTORS b/docs/CONTRIBUTORS index 5c0e7cf46..a29698484 100644 --- a/docs/CONTRIBUTORS +++ b/docs/CONTRIBUTORS @@ -19,6 +19,7 @@ Anthony Donlon Arkadiusz Kozdra Aylon Chaim Porat Cameron Kirk +Chih-Mao Chen Chris Randall Chuxuan Wang Conor McCullough diff --git a/examples/make_tracing_sc/sc_main.cpp b/examples/make_tracing_sc/sc_main.cpp index 44e69c7f0..59f1a55a4 100644 --- a/examples/make_tracing_sc/sc_main.cpp +++ b/examples/make_tracing_sc/sc_main.cpp @@ -24,6 +24,7 @@ #include "Vtop.h" using namespace sc_core; +using namespace sc_dt; int sc_main(int argc, char* argv[]) { // This is a more complicated example, please also see the simpler examples/make_hello_c. @@ -52,7 +53,7 @@ int sc_main(int argc, char* argv[]) { Verilated::commandArgs(argc, argv); // General logfile - ios::sync_with_stdio(); + std::ios::sync_with_stdio(); // Define clocks sc_clock clk{"clk", 10, SC_NS, 0.5, 3, SC_NS, true}; diff --git a/include/verilated_funcs.h b/include/verilated_funcs.h index db24cfe9c..673cf822a 100644 --- a/include/verilated_funcs.h +++ b/include/verilated_funcs.h @@ -449,7 +449,7 @@ static inline void VL_ASSIGNBIT_WO(int bit, WDataOutP owp) VL_MT_SAFE { #define VL_ASSIGN_WSB(obits, owp, svar) \ { \ const int words = VL_WORDS_I(obits); \ - sc_biguint<(obits)> _butemp = (svar).read(); \ + sc_dt::sc_biguint<(obits)> _butemp = (svar).read(); \ uint32_t* chunkp = _butemp.get_raw(); \ int32_t lsb = 0; \ while (lsb < obits - BITS_PER_DIGIT) { \ @@ -475,20 +475,20 @@ static inline void VL_ASSIGNBIT_WO(int bit, WDataOutP owp) VL_MT_SAFE { #define VL_ASSIGN_SWI(obits, svar, rd) \ { \ - sc_bv<(obits)> _bvtemp; \ + sc_dt::sc_bv<(obits)> _bvtemp; \ _bvtemp.set_word(0, (rd)); \ (svar).write(_bvtemp); \ } #define VL_ASSIGN_SWQ(obits, svar, rd) \ { \ - sc_bv<(obits)> _bvtemp; \ + sc_dt::sc_bv<(obits)> _bvtemp; \ _bvtemp.set_word(0, static_cast(rd)); \ _bvtemp.set_word(1, static_cast((rd) >> VL_IDATASIZE)); \ (svar).write(_bvtemp); \ } #define VL_ASSIGN_SWW(obits, svar, rwp) \ { \ - sc_bv<(obits)> _bvtemp; \ + sc_dt::sc_bv<(obits)> _bvtemp; \ for (int i = 0; i < VL_WORDS_I(obits); ++i) _bvtemp.set_word(i, (rwp)[i]); \ (svar).write(_bvtemp); \ } @@ -504,7 +504,7 @@ static inline void VL_ASSIGNBIT_WO(int bit, WDataOutP owp) VL_MT_SAFE { #define VL_SC_BITS_PER_DIGIT 30 // This comes from sc_nbdefs.h BITS_PER_DIGIT #define VL_ASSIGN_SBW(obits, svar, rwp) \ { \ - sc_biguint<(obits)> _butemp; \ + sc_dt::sc_biguint<(obits)> _butemp; \ int32_t lsb = 0; \ uint32_t* chunkp = _butemp.get_raw(); \ while (lsb + VL_SC_BITS_PER_DIGIT < (obits)) { \ diff --git a/src/V3AstNodes.cpp b/src/V3AstNodes.cpp index 3ab69db0f..4fd19133c 100644 --- a/src/V3AstNodes.cpp +++ b/src/V3AstNodes.cpp @@ -688,13 +688,14 @@ string AstVar::dpiTmpVarType(const string& varName) const { string AstVar::scType() const { if (isScBigUint()) { - return (string{"sc_biguint<"} + cvtToStr(widthMin()) + return (string{"sc_dt::sc_biguint<"} + cvtToStr(widthMin()) + "> "); // Keep the space so don't get >> } else if (isScUint()) { - return (string{"sc_uint<"} + cvtToStr(widthMin()) + return (string{"sc_dt::sc_uint<"} + cvtToStr(widthMin()) + "> "); // Keep the space so don't get >> } else if (isScBv()) { - return (string{"sc_bv<"} + cvtToStr(widthMin()) + "> "); // Keep the space so don't get >> + return (string{"sc_dt::sc_bv<"} + cvtToStr(widthMin()) + + "> "); // Keep the space so don't get >> } else if (widthMin() == 1) { return "bool"; } else if (widthMin() <= VL_IDATASIZE) { diff --git a/src/V3EmitCBase.cpp b/src/V3EmitCBase.cpp index c382554c4..be8871a8a 100644 --- a/src/V3EmitCBase.cpp +++ b/src/V3EmitCBase.cpp @@ -153,14 +153,14 @@ void EmitCBaseVisitorConst::emitVarDecl(const AstVar* nodep, bool asRef) { if (nodep->isIO() && nodep->isSc()) { UASSERT_OBJ(basicp, nodep, "Unimplemented: Outputting this data type"); if (nodep->attrScClocked() && nodep->isReadOnly()) { - puts("sc_in_clk "); + puts("sc_core::sc_in_clk "); } else { if (nodep->isInoutish()) { - puts("sc_inout<"); + puts("sc_core::sc_inout<"); } else if (nodep->isWritable()) { - puts("sc_out<"); + puts("sc_core::sc_out<"); } else if (nodep->isNonOutput()) { - puts("sc_in<"); + puts("sc_core::sc_in<"); } else { nodep->v3fatalSrc("Unknown type"); } diff --git a/src/V3EmitCModel.cpp b/src/V3EmitCModel.cpp index 6946ed68f..a3e3838f0 100644 --- a/src/V3EmitCModel.cpp +++ b/src/V3EmitCModel.cpp @@ -112,7 +112,7 @@ class EmitCModel final : public EmitCFunc { } } } - if (optSystemC() && v3Global.usesTiming()) puts("sc_event trigger_eval;\n"); + if (optSystemC() && v3Global.usesTiming()) puts("sc_core::sc_event trigger_eval;\n"); // Cells instantiated by the top level (for access to /* verilator public */) puts("\n// CELLS\n" @@ -200,7 +200,7 @@ class EmitCModel final : public EmitCFunc { } if (v3Global.opt.trace() && optSystemC()) { puts("/// SC tracing; avoid overloaded virtual function lint warning\n"); - puts("void trace(sc_trace_file* tfp) const override { " + puts("void trace(sc_core::sc_trace_file* tfp) const override { " "::sc_core::sc_module::trace(tfp); }\n"); } @@ -255,7 +255,7 @@ class EmitCModel final : public EmitCFunc { puts("\n"); puts(topClassName() + "::" + topClassName()); if (optSystemC()) { - puts("(sc_module_name /* unused */)\n"); + puts("(sc_core::sc_module_name /* unused */)\n"); puts(" : VerilatedModel{*Verilated::threadContextp()}\n"); puts(" , vlSymsp{new " + symClassName() + "(contextp(), name(), this)}\n"); } else { @@ -364,7 +364,8 @@ class EmitCModel final : public EmitCFunc { puts("\nvoid " + topClassName() + "::eval() {\n"); puts("eval_step();\n"); puts("if (eventsPending()) {\n"); - puts("sc_time dt = sc_time::from_value(nextTimeSlot() - contextp()->time());\n"); + puts("sc_core::sc_time dt = sc_core::sc_time::from_value(nextTimeSlot() - " + "contextp()->time());\n"); puts("next_trigger(dt, trigger_eval);\n"); puts("} else {\n"); puts("next_trigger(trigger_eval);\n"); diff --git a/src/V3File.h b/src/V3File.h index 3f1cbebc2..00b9ef1aa 100644 --- a/src/V3File.h +++ b/src/V3File.h @@ -275,7 +275,7 @@ public: void putsHeader() override { puts("// Verilated -*- SystemC -*-\n"); } void putsIntTopInclude() override { putsForceIncs(); - puts("#include \"systemc.h\"\n"); + puts("#include \"systemc\"\n"); puts("#include \"verilated_sc.h\"\n"); } }; diff --git a/test_regress/t/t_mem_multi_io2.cpp b/test_regress/t/t_mem_multi_io2.cpp index 24269b14c..56d37c58f 100644 --- a/test_regress/t/t_mem_multi_io2.cpp +++ b/test_regress/t/t_mem_multi_io2.cpp @@ -28,6 +28,7 @@ int main() tb = new VM_PREFIX{"tb"}; #ifdef SYSTEMC_VERSION + using namespace sc_core; sc_signal i3; sc_signal o3; sc_signal i34[4]; diff --git a/test_regress/t/t_sc_names.cpp b/test_regress/t/t_sc_names.cpp index 6f73c4c1d..4380c8064 100644 --- a/test_regress/t/t_sc_names.cpp +++ b/test_regress/t/t_sc_names.cpp @@ -6,6 +6,8 @@ #include VM_PREFIX_INCLUDE #include "Vt_sc_names.h" +using namespace sc_core; + VM_PREFIX* tb = nullptr; int sc_main(int argc, char* argv[]) { diff --git a/test_regress/t/t_var_pins_sc1.pl b/test_regress/t/t_var_pins_sc1.pl index 88e6143c4..0c84b2e84 100755 --- a/test_regress/t/t_var_pins_sc1.pl +++ b/test_regress/t/t_var_pins_sc1.pl @@ -18,27 +18,27 @@ compile( ); if ($Self->{vlt_all}) { - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &i1;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &i8;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &i16;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &i32;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &i64;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &i65;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &ibv1;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &ibv16;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &ibv1_vlt;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &ibv16_vlt;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &i1;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &i8;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &i16;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &i32;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &i64;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &i65;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &ibv1;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &ibv16;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &ibv1_vlt;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &ibv16_vlt;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &o1;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &o8;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &o16;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &o32;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &o64;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &o65;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &obv1;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &obv16;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &obv1_vlt;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &obv16_vlt;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &o1;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &o8;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &o16;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &o32;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &o64;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &o65;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &obv1;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &obv16;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &obv1_vlt;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &obv16_vlt;/x); } execute(); diff --git a/test_regress/t/t_var_pins_sc2.pl b/test_regress/t/t_var_pins_sc2.pl index 9cb732256..9bc19ebcd 100755 --- a/test_regress/t/t_var_pins_sc2.pl +++ b/test_regress/t/t_var_pins_sc2.pl @@ -18,27 +18,27 @@ compile( ); { - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in \s+ &i1;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &i8;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &i16;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &i32;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &i64;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &i65;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &ibv1;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &ibv16;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &ibv1_vlt;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &ibv16_vlt;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in \s+ &i1;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &i8;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &i16;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &i32;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &i64;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &i65;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &ibv1;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &ibv16;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &ibv1_vlt;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &ibv16_vlt;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out \s+ &o1;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &o8;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &o16;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &o32;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &o64;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &o65;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &obv1;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &obv16;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &obv1_vlt;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &obv16_vlt;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out \s+ &o1;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &o8;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &o16;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &o32;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &o64;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &o65;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &obv1;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &obv16;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &obv1_vlt;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &obv16_vlt;/x); } execute(); diff --git a/test_regress/t/t_var_pins_sc32.pl b/test_regress/t/t_var_pins_sc32.pl index f3b956e98..491a10919 100755 --- a/test_regress/t/t_var_pins_sc32.pl +++ b/test_regress/t/t_var_pins_sc32.pl @@ -18,27 +18,27 @@ compile( ); { - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in \s+ &i1;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in \s+ &i8;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in \s+ &i16;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in \s+ &i32;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &i64;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &i65;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &ibv1;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &ibv16;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &ibv1_vlt;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &ibv16_vlt;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in \s+ &i1;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in \s+ &i8;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in \s+ &i16;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in \s+ &i32;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &i64;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &i65;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &ibv1;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &ibv16;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &ibv1_vlt;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &ibv16_vlt;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out \s+ &o1;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out \s+ &o8;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out \s+ &o16;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out \s+ &o32;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &o64;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &o65;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &obv1;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &obv16;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &obv1_vlt;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &obv16_vlt;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out \s+ &o1;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out \s+ &o8;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out \s+ &o16;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out \s+ &o32;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &o64;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &o65;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &obv1;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &obv16;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &obv1_vlt;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &obv16_vlt;/x); } execute(); diff --git a/test_regress/t/t_var_pins_sc64.pl b/test_regress/t/t_var_pins_sc64.pl index 370dc90a3..2eebd0958 100755 --- a/test_regress/t/t_var_pins_sc64.pl +++ b/test_regress/t/t_var_pins_sc64.pl @@ -18,27 +18,27 @@ compile( ); if ($Self->{vlt_all}) { - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in \s+ &i1;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in \s+ &i8;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in \s+ &i16;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in \s+ &i32;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in \s+ &i64;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &i65;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &ibv1;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &ibv16;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &ibv1_vlt;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &ibv16_vlt;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in \s+ &i1;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in \s+ &i8;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in \s+ &i16;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in \s+ &i32;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in \s+ &i64;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &i65;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &ibv1;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &ibv16;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &ibv1_vlt;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &ibv16_vlt;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out \s+ &o1;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out \s+ &o8;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out \s+ &o16;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out \s+ &o32;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out \s+ &o64;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &o65;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &obv1;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &obv16;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &obv1_vlt;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &obv16_vlt;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out \s+ &o1;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out \s+ &o8;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out \s+ &o16;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out \s+ &o32;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out \s+ &o64;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &o65;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &obv1;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &obv16;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &obv1_vlt;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &obv16_vlt;/x); } execute(); diff --git a/test_regress/t/t_var_pins_sc_biguint.pl b/test_regress/t/t_var_pins_sc_biguint.pl index 226882081..bd1895f16 100755 --- a/test_regress/t/t_var_pins_sc_biguint.pl +++ b/test_regress/t/t_var_pins_sc_biguint.pl @@ -18,27 +18,27 @@ compile( ); if ($Self->{vlt_all}) { - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in \s+ &i1;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in \s+ &i8;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in \s+ &i16;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in \s+ &i32;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in \s+ &i64;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &i65;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &i128;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &i513;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &ibv1;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &ibv16;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in \s+ &i1;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in \s+ &i8;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in \s+ &i16;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in \s+ &i32;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in \s+ &i64;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &i65;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &i128;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &i513;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &ibv1;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &ibv16;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out \s+ &o1;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out \s+ &o8;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out \s+ &o16;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out \s+ &o32;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out \s+ &o64;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &o65;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &o128;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &o513;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &obv1;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &obv16;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out \s+ &o1;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out \s+ &o8;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out \s+ &o16;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out \s+ &o32;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out \s+ &o64;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &o65;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &o128;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &o513;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &obv1;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &obv16;/x); } execute(); diff --git a/test_regress/t/t_var_pins_sc_uint.pl b/test_regress/t/t_var_pins_sc_uint.pl index 4a60aed89..6b23397d3 100755 --- a/test_regress/t/t_var_pins_sc_uint.pl +++ b/test_regress/t/t_var_pins_sc_uint.pl @@ -18,27 +18,27 @@ compile( ); { - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in \s+ &i1;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &i8;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &i16;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &i32;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &i64;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &i65;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &i128;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &i513;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &ibv1;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &ibv16;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in \s+ &i1;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &i8;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &i16;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &i32;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &i64;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &i65;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &i128;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &i513;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &ibv1;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &ibv16;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out \s+ &o1;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &o8;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &o16;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &o32;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &o64;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &o65;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &o128;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &o513;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &obv1;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &obv16;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out \s+ &o1;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &o8;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &o16;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &o32;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &o64;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &o65;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &o128;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &o513;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &obv1;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &obv16;/x); } execute(); diff --git a/test_regress/t/t_var_pins_sc_uint_biguint.pl b/test_regress/t/t_var_pins_sc_uint_biguint.pl index 1aae8b505..3b0e9729d 100755 --- a/test_regress/t/t_var_pins_sc_uint_biguint.pl +++ b/test_regress/t/t_var_pins_sc_uint_biguint.pl @@ -18,27 +18,27 @@ compile( ); { - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in \s+ &i1;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &i8;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &i16;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &i32;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &i64;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &i65;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &i128;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &i513;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &ibv1;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &ibv16;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in \s+ &i1;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &i8;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &i16;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &i32;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &i64;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &i65;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &i128;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &i513;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &ibv1;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &ibv16;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out \s+ &o1;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &o8;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &o16;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &o32;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &o64;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &o65;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &o128;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &o513;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &obv1;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &obv16;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out \s+ &o1;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &o8;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &o16;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &o32;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &o64;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &o65;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &o128;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &o513;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &obv1;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &obv16;/x); } execute(); diff --git a/test_regress/t/t_var_pins_scui.pl b/test_regress/t/t_var_pins_scui.pl index 6162561fd..34a1424d5 100755 --- a/test_regress/t/t_var_pins_scui.pl +++ b/test_regress/t/t_var_pins_scui.pl @@ -18,23 +18,23 @@ compile( ); if ($Self->{vlt_all}) { - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in \s+ &i1;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in \s+ &i8;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in \s+ &i16;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in \s+ &i32;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in \s+ &i64;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &i65;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &ibv1;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_in\s> \s+ &ibv16;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in \s+ &i1;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in \s+ &i8;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in \s+ &i16;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in \s+ &i32;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in \s+ &i64;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &i65;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &ibv1;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_in\s> \s+ &ibv16;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out \s+ &o1;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out \s+ &o8;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out \s+ &o16;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out \s+ &o32;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out \s+ &o64;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &o65;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &obv1;/x); - file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_out\s> \s+ &obv16;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out \s+ &o1;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out \s+ &o8;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out \s+ &o16;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out \s+ &o32;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out \s+ &o64;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &o65;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &obv1;/x); + file_grep("$Self->{obj_dir}/$Self->{vm_prefix}.h", qr/sc_core::sc_out\s> \s+ &obv16;/x); } execute(); diff --git a/test_regress/t/t_var_sc_bv.cpp b/test_regress/t/t_var_sc_bv.cpp index cb0b93ed2..3d54391ef 100644 --- a/test_regress/t/t_var_sc_bv.cpp +++ b/test_regress/t/t_var_sc_bv.cpp @@ -6,6 +6,9 @@ #include VM_PREFIX_INCLUDE +using namespace sc_core; +using namespace sc_dt; + VM_PREFIX* tb = nullptr; bool pass = true;