mirror of
https://github.com/verilator/verilator.git
synced 2025-04-04 19:52:39 +00:00
Fix splitting if statements with impure conditions (#5219)
This commit is contained in:
parent
8bffb8c391
commit
955ed3f193
@ -983,9 +983,12 @@ protected:
|
||||
}
|
||||
void visit(AstNodeIf* nodep) override {
|
||||
UINFO(4, " IF " << nodep << endl);
|
||||
m_curIfConditional = nodep;
|
||||
iterateAndNextNull(nodep->condp());
|
||||
m_curIfConditional = nullptr;
|
||||
if (!nodep->condp()->isPure()) m_noReorderWhy = "Impure IF condition";
|
||||
{
|
||||
VL_RESTORER(m_curIfConditional);
|
||||
m_curIfConditional = nodep;
|
||||
iterateAndNextNull(nodep->condp());
|
||||
}
|
||||
scanBlock(nodep->thensp());
|
||||
scanBlock(nodep->elsesp());
|
||||
}
|
||||
|
22
test_regress/t/t_dpi_if_cond.pl
Executable file
22
test_regress/t/t_dpi_if_cond.pl
Executable file
@ -0,0 +1,22 @@
|
||||
#!/usr/bin/env perl
|
||||
if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; }
|
||||
# DESCRIPTION: Verilator: Verilog Test driver/expect definition
|
||||
#
|
||||
# Copyright 2024 by Wilson Snyder. This program is free software; you
|
||||
# can redistribute it and/or modify it under the terms of either the GNU
|
||||
# Lesser General Public License Version 3 or the Perl Artistic License
|
||||
# Version 2.0.
|
||||
# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
|
||||
|
||||
scenarios(simulator => 1);
|
||||
|
||||
compile(
|
||||
v_flags2 => ["t/t_dpi_if_cond_c.cpp"],
|
||||
);
|
||||
|
||||
execute(
|
||||
check_finished => 1,
|
||||
);
|
||||
|
||||
ok(1);
|
||||
1;
|
39
test_regress/t/t_dpi_if_cond.v
Normal file
39
test_regress/t/t_dpi_if_cond.v
Normal file
@ -0,0 +1,39 @@
|
||||
// DESCRIPTION: Verilator: Verilog Test module
|
||||
//
|
||||
// Copyright 2024 by Antmicro. This program is free software; you can
|
||||
// redistribute it and/or modify it under the terms of either the GNU
|
||||
// Lesser General Public License Version 3 or the Perl Artistic License
|
||||
// Version 2.0.
|
||||
// SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
|
||||
|
||||
module t (/*AUTOARG*/
|
||||
// Inputs
|
||||
clk
|
||||
);
|
||||
|
||||
input clk;
|
||||
|
||||
integer counter = 0;
|
||||
import "DPI-C" context function int dpii_increment(inout int counter);
|
||||
|
||||
function void func();
|
||||
endfunction : func
|
||||
|
||||
always @(posedge clk) begin
|
||||
if(dpii_increment(counter) == 1) begin
|
||||
// unreachable
|
||||
func();
|
||||
|
||||
// add impure statement for splitting
|
||||
$write("");
|
||||
end
|
||||
else if (counter == 1) begin
|
||||
$write("*-* All Finished *-*\n");
|
||||
$finish;
|
||||
end
|
||||
else begin
|
||||
$write("DPI called too many times: %d\n", counter);
|
||||
$stop;
|
||||
end
|
||||
end
|
||||
endmodule
|
46
test_regress/t/t_dpi_if_cond_c.cpp
Normal file
46
test_regress/t/t_dpi_if_cond_c.cpp
Normal file
@ -0,0 +1,46 @@
|
||||
// -*- mode: C++; c-file-style: "cc-mode" -*-
|
||||
//*************************************************************************
|
||||
//
|
||||
// Copyright 2024 by Antmicro. This program is free software; you can
|
||||
// redistribute it and/or modify it under the terms of either the GNU
|
||||
// Lesser General Public License Version 3 or the Perl Artistic License
|
||||
// Version 2.0.
|
||||
// SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
|
||||
//
|
||||
//*************************************************************************
|
||||
|
||||
#include "svdpi.h"
|
||||
|
||||
#include <cstdio>
|
||||
#include <cstring>
|
||||
|
||||
// These require the above. Comment prevents clang-format moving them
|
||||
#include "TestCheck.h"
|
||||
|
||||
//======================================================================
|
||||
|
||||
// clang-format off
|
||||
#if defined(VERILATOR)
|
||||
# include "Vt_dpi_if_cond__Dpi.h"
|
||||
#elif defined(VCS)
|
||||
# include "../vc_hdrs.h"
|
||||
#elif defined(NC)
|
||||
# define NEED_EXTERNS
|
||||
#else
|
||||
# error "Unknown simulator for DPI test"
|
||||
#endif
|
||||
// clang-format on
|
||||
|
||||
#ifdef NEED_EXTERNS
|
||||
extern "C" {
|
||||
// If get ncsim: *F,NOFDPI: Function {foo} not found in default libdpi.
|
||||
// Then probably forgot to list a function here.
|
||||
|
||||
extern int dpii_increment(int* counter);
|
||||
}
|
||||
#endif
|
||||
|
||||
int dpii_increment(int* counter) {
|
||||
++(*counter);
|
||||
return 0;
|
||||
}
|
Loading…
Reference in New Issue
Block a user