From 955ed3f193a7f05c5cbd63d2d92a4c3c8af25cb8 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Bart=C5=82omiej=20Chmiel?= Date: Tue, 2 Jul 2024 21:17:10 +0200 Subject: [PATCH] Fix splitting if statements with impure conditions (#5219) --- src/V3Split.cpp | 9 ++++-- test_regress/t/t_dpi_if_cond.pl | 22 ++++++++++++++ test_regress/t/t_dpi_if_cond.v | 39 +++++++++++++++++++++++++ test_regress/t/t_dpi_if_cond_c.cpp | 46 ++++++++++++++++++++++++++++++ 4 files changed, 113 insertions(+), 3 deletions(-) create mode 100755 test_regress/t/t_dpi_if_cond.pl create mode 100644 test_regress/t/t_dpi_if_cond.v create mode 100644 test_regress/t/t_dpi_if_cond_c.cpp diff --git a/src/V3Split.cpp b/src/V3Split.cpp index 2dc7ddb1d..ca6ef099a 100644 --- a/src/V3Split.cpp +++ b/src/V3Split.cpp @@ -983,9 +983,12 @@ protected: } void visit(AstNodeIf* nodep) override { UINFO(4, " IF " << nodep << endl); - m_curIfConditional = nodep; - iterateAndNextNull(nodep->condp()); - m_curIfConditional = nullptr; + if (!nodep->condp()->isPure()) m_noReorderWhy = "Impure IF condition"; + { + VL_RESTORER(m_curIfConditional); + m_curIfConditional = nodep; + iterateAndNextNull(nodep->condp()); + } scanBlock(nodep->thensp()); scanBlock(nodep->elsesp()); } diff --git a/test_regress/t/t_dpi_if_cond.pl b/test_regress/t/t_dpi_if_cond.pl new file mode 100755 index 000000000..4fd6c9020 --- /dev/null +++ b/test_regress/t/t_dpi_if_cond.pl @@ -0,0 +1,22 @@ +#!/usr/bin/env perl +if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; } +# DESCRIPTION: Verilator: Verilog Test driver/expect definition +# +# Copyright 2024 by Wilson Snyder. This program is free software; you +# can redistribute it and/or modify it under the terms of either the GNU +# Lesser General Public License Version 3 or the Perl Artistic License +# Version 2.0. +# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0 + +scenarios(simulator => 1); + +compile( + v_flags2 => ["t/t_dpi_if_cond_c.cpp"], + ); + +execute( + check_finished => 1, + ); + +ok(1); +1; diff --git a/test_regress/t/t_dpi_if_cond.v b/test_regress/t/t_dpi_if_cond.v new file mode 100644 index 000000000..306bb010b --- /dev/null +++ b/test_regress/t/t_dpi_if_cond.v @@ -0,0 +1,39 @@ +// DESCRIPTION: Verilator: Verilog Test module +// +// Copyright 2024 by Antmicro. This program is free software; you can +// redistribute it and/or modify it under the terms of either the GNU +// Lesser General Public License Version 3 or the Perl Artistic License +// Version 2.0. +// SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0 + +module t (/*AUTOARG*/ + // Inputs + clk + ); + + input clk; + + integer counter = 0; + import "DPI-C" context function int dpii_increment(inout int counter); + + function void func(); + endfunction : func + + always @(posedge clk) begin + if(dpii_increment(counter) == 1) begin + // unreachable + func(); + + // add impure statement for splitting + $write(""); + end + else if (counter == 1) begin + $write("*-* All Finished *-*\n"); + $finish; + end + else begin + $write("DPI called too many times: %d\n", counter); + $stop; + end + end +endmodule diff --git a/test_regress/t/t_dpi_if_cond_c.cpp b/test_regress/t/t_dpi_if_cond_c.cpp new file mode 100644 index 000000000..d654fbd62 --- /dev/null +++ b/test_regress/t/t_dpi_if_cond_c.cpp @@ -0,0 +1,46 @@ +// -*- mode: C++; c-file-style: "cc-mode" -*- +//************************************************************************* +// +// Copyright 2024 by Antmicro. This program is free software; you can +// redistribute it and/or modify it under the terms of either the GNU +// Lesser General Public License Version 3 or the Perl Artistic License +// Version 2.0. +// SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0 +// +//************************************************************************* + +#include "svdpi.h" + +#include +#include + +// These require the above. Comment prevents clang-format moving them +#include "TestCheck.h" + +//====================================================================== + +// clang-format off +#if defined(VERILATOR) +# include "Vt_dpi_if_cond__Dpi.h" +#elif defined(VCS) +# include "../vc_hdrs.h" +#elif defined(NC) +# define NEED_EXTERNS +#else +# error "Unknown simulator for DPI test" +#endif +// clang-format on + +#ifdef NEED_EXTERNS +extern "C" { +// If get ncsim: *F,NOFDPI: Function {foo} not found in default libdpi. +// Then probably forgot to list a function here. + +extern int dpii_increment(int* counter); +} +#endif + +int dpii_increment(int* counter) { + ++(*counter); + return 0; +}