Fix $typename on array.min and others (#5049).

This commit is contained in:
Wilson Snyder 2024-05-01 20:07:02 -04:00
parent 3f89bdcfac
commit 8044833c74
4 changed files with 63 additions and 6 deletions

View File

@ -27,6 +27,7 @@ Verilator 5.025 devel
* Fix attempted to destroy locked thread pool error (#5040). [Bartłomiej Chmiel, Antmicro Ltd.] * Fix attempted to destroy locked thread pool error (#5040). [Bartłomiej Chmiel, Antmicro Ltd.]
* Fix `$system` with string argument (#5042). * Fix `$system` with string argument (#5042).
* Fix width extension on delays (#5043). * Fix width extension on delays (#5043).
* Fix `$typename` on array.min and others (#5049). [Gökçe Aydos]
* Fix CMake builds to export VERILATOR_ROOT (#5063). [Michael Bikovitsky] * Fix CMake builds to export VERILATOR_ROOT (#5063). [Michael Bikovitsky]
* Fix false ASSIGNIN on functions with explicit port map (#5069). * Fix false ASSIGNIN on functions with explicit port map (#5069).
* Fix DFG assertion with SystemC (#5076). [Geza Lore] * Fix DFG assertion with SystemC (#5076). [Geza Lore]

View File

@ -3193,7 +3193,7 @@ class WidthVisitor final : public VNVisitor {
methodCallLValueRecurse(nodep, nodep->fromp(), VAccess::READ); methodCallLValueRecurse(nodep, nodep->fromp(), VAccess::READ);
newp = new AstCMethodHard{nodep->fileline(), nodep->fromp()->unlinkFrBack(), newp = new AstCMethodHard{nodep->fileline(), nodep->fromp()->unlinkFrBack(),
nodep->name()}; nodep->name()};
newp->dtypeFrom(adtypep); newp->dtypep(queueDTypeIndexedBy(adtypep->subDTypep()));
if (!nodep->firstAbovep()) newp->dtypeSetVoid(); if (!nodep->firstAbovep()) newp->dtypeSetVoid();
} else if (nodep->name() == "find" || nodep->name() == "find_first" } else if (nodep->name() == "find" || nodep->name() == "find_first"
|| nodep->name() == "find_last") { || nodep->name() == "find_last") {
@ -3204,7 +3204,7 @@ class WidthVisitor final : public VNVisitor {
methodCallLValueRecurse(nodep, nodep->fromp(), VAccess::READ); methodCallLValueRecurse(nodep, nodep->fromp(), VAccess::READ);
newp = new AstCMethodHard{nodep->fileline(), nodep->fromp()->unlinkFrBack(), newp = new AstCMethodHard{nodep->fileline(), nodep->fromp()->unlinkFrBack(),
nodep->name(), withp}; nodep->name(), withp};
newp->dtypeFrom(adtypep); newp->dtypep(queueDTypeIndexedBy(adtypep->subDTypep()));
if (!nodep->firstAbovep()) newp->dtypeSetVoid(); if (!nodep->firstAbovep()) newp->dtypeSetVoid();
} else if (nodep->name() == "map") { } else if (nodep->name() == "map") {
nodep->v3warn(E_UNSUPPORTED, nodep->v3warn(E_UNSUPPORTED,
@ -3286,7 +3286,7 @@ class WidthVisitor final : public VNVisitor {
if (nodep->name() == "unique_index") { if (nodep->name() == "unique_index") {
newp->dtypep(queueDTypeIndexedBy(adtypep->keyDTypep())); newp->dtypep(queueDTypeIndexedBy(adtypep->keyDTypep()));
} else { } else {
newp->dtypeFrom(adtypep); newp->dtypep(queueDTypeIndexedBy(adtypep->subDTypep()));
} }
if (!nodep->firstAbovep()) newp->dtypeSetVoid(); if (!nodep->firstAbovep()) newp->dtypeSetVoid();
} else if (nodep->name() == "find" || nodep->name() == "find_first" } else if (nodep->name() == "find" || nodep->name() == "find_first"
@ -3297,7 +3297,7 @@ class WidthVisitor final : public VNVisitor {
methodCallLValueRecurse(nodep, nodep->fromp(), VAccess::READ); methodCallLValueRecurse(nodep, nodep->fromp(), VAccess::READ);
newp = new AstCMethodHard{nodep->fileline(), nodep->fromp()->unlinkFrBack(), newp = new AstCMethodHard{nodep->fileline(), nodep->fromp()->unlinkFrBack(),
nodep->name(), withp}; nodep->name(), withp};
newp->dtypeFrom(adtypep); newp->dtypep(queueDTypeIndexedBy(adtypep->subDTypep()));
if (!nodep->firstAbovep()) newp->dtypeSetVoid(); if (!nodep->firstAbovep()) newp->dtypeSetVoid();
} else if (nodep->name() == "find_index" || nodep->name() == "find_first_index" } else if (nodep->name() == "find_index" || nodep->name() == "find_first_index"
|| nodep->name() == "find_last_index") { || nodep->name() == "find_last_index") {
@ -3380,7 +3380,7 @@ class WidthVisitor final : public VNVisitor {
if (nodep->name() == "unique_index") { if (nodep->name() == "unique_index") {
newp->dtypep(newp->findQueueIndexDType()); newp->dtypep(newp->findQueueIndexDType());
} else { } else {
newp->dtypeFrom(adtypep); newp->dtypep(queueDTypeIndexedBy(adtypep->subDTypep()));
} }
if (!nodep->firstAbovep()) newp->dtypeSetVoid(); if (!nodep->firstAbovep()) newp->dtypeSetVoid();
} else if (nodep->name() == "find" || nodep->name() == "find_first" } else if (nodep->name() == "find" || nodep->name() == "find_first"
@ -3392,7 +3392,7 @@ class WidthVisitor final : public VNVisitor {
methodCallLValueRecurse(nodep, nodep->fromp(), VAccess::READ); methodCallLValueRecurse(nodep, nodep->fromp(), VAccess::READ);
newp = new AstCMethodHard{nodep->fileline(), nodep->fromp()->unlinkFrBack(), newp = new AstCMethodHard{nodep->fileline(), nodep->fromp()->unlinkFrBack(),
nodep->name(), withp}; nodep->name(), withp};
newp->dtypeFrom(adtypep); newp->dtypep(queueDTypeIndexedBy(adtypep->subDTypep()));
if (!nodep->firstAbovep()) newp->dtypeSetVoid(); if (!nodep->firstAbovep()) newp->dtypeSetVoid();
} else if (nodep->name() == "find_index" || nodep->name() == "find_first_index" } else if (nodep->name() == "find_index" || nodep->name() == "find_first_index"
|| nodep->name() == "find_last_index") { || nodep->name() == "find_last_index") {

View File

@ -0,0 +1,21 @@
#!/usr/bin/env perl
if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; }
# DESCRIPTION: Verilator: Verilog Test driver/expect definition
#
# Copyright 2024 by Wilson Snyder. This program is free software; you
# can redistribute it and/or modify it under the terms of either the GNU
# Lesser General Public License Version 3 or the Perl Artistic License
# Version 2.0.
# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
scenarios(simulator => 1);
compile(
);
execute(
check_finished => 1,
);
ok(1);
1;

View File

@ -0,0 +1,35 @@
// DESCRIPTION: Verilator: Verilog Test module
//
// This file ONLY is placed under the Creative Commons Public Domain, for
// any use, without warranty, 2024 by Wilson Snyder.
// SPDX-License-Identifier: CC0-1.0
`define stop $stop
`define checks(gotv,expv) do if ((gotv) !== (expv)) begin $write("%%Error: %s:%0d: got='%s' exp='%s'\n", `__FILE__,`__LINE__, (gotv), (expv)); `stop; end while(0);
module t;
int unsigned array[3] = {1, 2, 3};
int unsigned queue[$] = '{1, 2, 3};
int unsigned q[$];
int unsigned assoc[string] = '{"1":1, "2":2, "3":3};
string s;
initial begin
s = $typename(array.min);
`checks(s, "int[$]");
s = $sformatf("%p", array.min);
`checks(s, "'{'h1} ");
s = $typename(queue.min);
`checks(s, "int[$]");
s = $sformatf("%p", queue.min);
`checks(s, "'{'h1} ");
s = $typename(assoc.min);
`checks(s, "int[$]");
s = $sformatf("%p", assoc.min);
`checks(s, "'{'h1} ");
$write("*-* All Finished *-*\n");
$finish;
end
endmodule