From 8044833c74e99b2d8bc13026acae662ee5e50374 Mon Sep 17 00:00:00 2001 From: Wilson Snyder Date: Wed, 1 May 2024 20:07:02 -0400 Subject: [PATCH] Fix `$typename` on array.min and others (#5049). --- Changes | 1 + src/V3Width.cpp | 12 +++++------ test_regress/t/t_typename_min.pl | 21 +++++++++++++++++++ test_regress/t/t_typename_min.v | 35 ++++++++++++++++++++++++++++++++ 4 files changed, 63 insertions(+), 6 deletions(-) create mode 100755 test_regress/t/t_typename_min.pl create mode 100644 test_regress/t/t_typename_min.v diff --git a/Changes b/Changes index c120a6715..eb6a64fc7 100644 --- a/Changes +++ b/Changes @@ -27,6 +27,7 @@ Verilator 5.025 devel * Fix attempted to destroy locked thread pool error (#5040). [Bartłomiej Chmiel, Antmicro Ltd.] * Fix `$system` with string argument (#5042). * Fix width extension on delays (#5043). +* Fix `$typename` on array.min and others (#5049). [Gökçe Aydos] * Fix CMake builds to export VERILATOR_ROOT (#5063). [Michael Bikovitsky] * Fix false ASSIGNIN on functions with explicit port map (#5069). * Fix DFG assertion with SystemC (#5076). [Geza Lore] diff --git a/src/V3Width.cpp b/src/V3Width.cpp index 77086cf0e..b85020e08 100644 --- a/src/V3Width.cpp +++ b/src/V3Width.cpp @@ -3193,7 +3193,7 @@ class WidthVisitor final : public VNVisitor { methodCallLValueRecurse(nodep, nodep->fromp(), VAccess::READ); newp = new AstCMethodHard{nodep->fileline(), nodep->fromp()->unlinkFrBack(), nodep->name()}; - newp->dtypeFrom(adtypep); + newp->dtypep(queueDTypeIndexedBy(adtypep->subDTypep())); if (!nodep->firstAbovep()) newp->dtypeSetVoid(); } else if (nodep->name() == "find" || nodep->name() == "find_first" || nodep->name() == "find_last") { @@ -3204,7 +3204,7 @@ class WidthVisitor final : public VNVisitor { methodCallLValueRecurse(nodep, nodep->fromp(), VAccess::READ); newp = new AstCMethodHard{nodep->fileline(), nodep->fromp()->unlinkFrBack(), nodep->name(), withp}; - newp->dtypeFrom(adtypep); + newp->dtypep(queueDTypeIndexedBy(adtypep->subDTypep())); if (!nodep->firstAbovep()) newp->dtypeSetVoid(); } else if (nodep->name() == "map") { nodep->v3warn(E_UNSUPPORTED, @@ -3286,7 +3286,7 @@ class WidthVisitor final : public VNVisitor { if (nodep->name() == "unique_index") { newp->dtypep(queueDTypeIndexedBy(adtypep->keyDTypep())); } else { - newp->dtypeFrom(adtypep); + newp->dtypep(queueDTypeIndexedBy(adtypep->subDTypep())); } if (!nodep->firstAbovep()) newp->dtypeSetVoid(); } else if (nodep->name() == "find" || nodep->name() == "find_first" @@ -3297,7 +3297,7 @@ class WidthVisitor final : public VNVisitor { methodCallLValueRecurse(nodep, nodep->fromp(), VAccess::READ); newp = new AstCMethodHard{nodep->fileline(), nodep->fromp()->unlinkFrBack(), nodep->name(), withp}; - newp->dtypeFrom(adtypep); + newp->dtypep(queueDTypeIndexedBy(adtypep->subDTypep())); if (!nodep->firstAbovep()) newp->dtypeSetVoid(); } else if (nodep->name() == "find_index" || nodep->name() == "find_first_index" || nodep->name() == "find_last_index") { @@ -3380,7 +3380,7 @@ class WidthVisitor final : public VNVisitor { if (nodep->name() == "unique_index") { newp->dtypep(newp->findQueueIndexDType()); } else { - newp->dtypeFrom(adtypep); + newp->dtypep(queueDTypeIndexedBy(adtypep->subDTypep())); } if (!nodep->firstAbovep()) newp->dtypeSetVoid(); } else if (nodep->name() == "find" || nodep->name() == "find_first" @@ -3392,7 +3392,7 @@ class WidthVisitor final : public VNVisitor { methodCallLValueRecurse(nodep, nodep->fromp(), VAccess::READ); newp = new AstCMethodHard{nodep->fileline(), nodep->fromp()->unlinkFrBack(), nodep->name(), withp}; - newp->dtypeFrom(adtypep); + newp->dtypep(queueDTypeIndexedBy(adtypep->subDTypep())); if (!nodep->firstAbovep()) newp->dtypeSetVoid(); } else if (nodep->name() == "find_index" || nodep->name() == "find_first_index" || nodep->name() == "find_last_index") { diff --git a/test_regress/t/t_typename_min.pl b/test_regress/t/t_typename_min.pl new file mode 100755 index 000000000..e64ab41be --- /dev/null +++ b/test_regress/t/t_typename_min.pl @@ -0,0 +1,21 @@ +#!/usr/bin/env perl +if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; } +# DESCRIPTION: Verilator: Verilog Test driver/expect definition +# +# Copyright 2024 by Wilson Snyder. This program is free software; you +# can redistribute it and/or modify it under the terms of either the GNU +# Lesser General Public License Version 3 or the Perl Artistic License +# Version 2.0. +# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0 + +scenarios(simulator => 1); + +compile( + ); + +execute( + check_finished => 1, + ); + +ok(1); +1; diff --git a/test_regress/t/t_typename_min.v b/test_regress/t/t_typename_min.v new file mode 100644 index 000000000..92a1bc597 --- /dev/null +++ b/test_regress/t/t_typename_min.v @@ -0,0 +1,35 @@ +// DESCRIPTION: Verilator: Verilog Test module +// +// This file ONLY is placed under the Creative Commons Public Domain, for +// any use, without warranty, 2024 by Wilson Snyder. +// SPDX-License-Identifier: CC0-1.0 + +`define stop $stop +`define checks(gotv,expv) do if ((gotv) !== (expv)) begin $write("%%Error: %s:%0d: got='%s' exp='%s'\n", `__FILE__,`__LINE__, (gotv), (expv)); `stop; end while(0); + +module t; + int unsigned array[3] = {1, 2, 3}; + int unsigned queue[$] = '{1, 2, 3}; + int unsigned q[$]; + int unsigned assoc[string] = '{"1":1, "2":2, "3":3}; + string s; + initial begin + s = $typename(array.min); + `checks(s, "int[$]"); + s = $sformatf("%p", array.min); + `checks(s, "'{'h1} "); + + s = $typename(queue.min); + `checks(s, "int[$]"); + s = $sformatf("%p", queue.min); + `checks(s, "'{'h1} "); + + s = $typename(assoc.min); + `checks(s, "int[$]"); + s = $sformatf("%p", assoc.min); + `checks(s, "'{'h1} "); + + $write("*-* All Finished *-*\n"); + $finish; + end +endmodule