mirror of
https://github.com/verilator/verilator.git
synced 2025-04-05 12:12:39 +00:00
Tests: Check for and remove trailing newlines
This commit is contained in:
parent
00214f738f
commit
7777d10e9b
@ -125,5 +125,4 @@ extern V3Global v3Global;
|
|||||||
|
|
||||||
//######################################################################
|
//######################################################################
|
||||||
|
|
||||||
#endif // guard
|
#endif // guard
|
||||||
|
|
||||||
|
@ -217,4 +217,3 @@ V3Hashed::iterator V3Hashed::findDuplicate(AstNode* nodep, V3HashedUserCheck* ch
|
|||||||
}
|
}
|
||||||
return end();
|
return end();
|
||||||
}
|
}
|
||||||
|
|
||||||
|
@ -186,5 +186,4 @@ class V3LanguageWords {
|
|||||||
}
|
}
|
||||||
};
|
};
|
||||||
|
|
||||||
#endif // Guard
|
#endif // Guard
|
||||||
|
|
||||||
|
@ -1127,4 +1127,3 @@ int V3ParseImp::lexToBison() {
|
|||||||
}
|
}
|
||||||
return yylval.token;
|
return yylval.token;
|
||||||
}
|
}
|
||||||
|
|
||||||
|
@ -5,4 +5,3 @@
|
|||||||
+incdir+t
|
+incdir+t
|
||||||
+incdir+../include
|
+incdir+../include
|
||||||
+incdir+obj_dir/
|
+incdir+obj_dir/
|
||||||
|
|
||||||
|
@ -89,4 +89,3 @@ module mycheck (/*AUTOARG*/
|
|||||||
$finish;
|
$finish;
|
||||||
end
|
end
|
||||||
endmodule
|
endmodule
|
||||||
|
|
||||||
|
@ -78,4 +78,3 @@ module Test (/*AUTOARG*/
|
|||||||
endcase
|
endcase
|
||||||
end
|
end
|
||||||
endmodule
|
endmodule
|
||||||
|
|
||||||
|
@ -276,4 +276,3 @@ module clz(
|
|||||||
assign out = {4'b0000, clz_byte1};
|
assign out = {4'b0000, clz_byte1};
|
||||||
|
|
||||||
endmodule // clz
|
endmodule // clz
|
||||||
|
|
||||||
|
@ -97,4 +97,3 @@ module drv4
|
|||||||
assign datao = colSelB & colSelA & colSelC & colSelD;
|
assign datao = colSelB & colSelA & colSelC & colSelD;
|
||||||
|
|
||||||
endmodule
|
endmodule
|
||||||
|
|
||||||
|
@ -63,5 +63,3 @@ int main(int argc, char **argv, char **env) {
|
|||||||
|
|
||||||
return 0;
|
return 0;
|
||||||
}
|
}
|
||||||
|
|
||||||
|
|
||||||
|
@ -68,4 +68,3 @@ module t (/*AUTOARG*/
|
|||||||
end
|
end
|
||||||
|
|
||||||
endmodule
|
endmodule
|
||||||
|
|
||||||
|
@ -17,4 +17,3 @@ compile(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -22,9 +22,14 @@ foreach my $file (sort keys %files) {
|
|||||||
# Ignore golden files
|
# Ignore golden files
|
||||||
} elsif ($contents =~ /[\001\002\003\004\005\006]/) {
|
} elsif ($contents =~ /[\001\002\003\004\005\006]/) {
|
||||||
# Ignore binrary files
|
# Ignore binrary files
|
||||||
} elsif ($contents =~ /[ \t]\n/) {
|
} elsif ($contents =~ /[ \t]\n/
|
||||||
|
|| $contents =~ m/\n\n+$/) { # Regexp repeated below
|
||||||
|
my $eol_ws_exempt = ($file =~ /(\.txt|\.html)$/
|
||||||
|
|| $file =~ m!^README$!
|
||||||
|
|| $file =~ m!/gtkwave/!);
|
||||||
if ($ENV{HARNESS_UPDATE_GOLDEN}) {
|
if ($ENV{HARNESS_UPDATE_GOLDEN}) {
|
||||||
$contents =~ s/[ \t]+\n/\n/g;
|
$contents =~ s/[ \t]+\n/\n/g;
|
||||||
|
$contents =~ s/\n\n+$/\n/g unless $eol_ws_exempt;
|
||||||
$warns{$file} = "Updated whitespace at $file";
|
$warns{$file} = "Updated whitespace at $file";
|
||||||
write_wholefile($filename, $contents);
|
write_wholefile($filename, $contents);
|
||||||
next;
|
next;
|
||||||
@ -41,6 +46,9 @@ foreach my $file (sort keys %files) {
|
|||||||
$warns{$file} .= " (last character is ASCII " . ord(substr($line, -1, 1)) . ")";
|
$warns{$file} .= " (last character is ASCII " . ord(substr($line, -1, 1)) . ")";
|
||||||
}
|
}
|
||||||
}
|
}
|
||||||
|
if ($contents =~ m/\n\n+$/ && !$eol_ws_exempt) { # Regexp repeated above
|
||||||
|
$warns{$file} = "Trailing newlines at EOF in $file";
|
||||||
|
}
|
||||||
}
|
}
|
||||||
}
|
}
|
||||||
|
|
||||||
|
@ -30,4 +30,3 @@ module t (/*AUTOARG*/);
|
|||||||
end
|
end
|
||||||
|
|
||||||
endmodule
|
endmodule
|
||||||
|
|
||||||
|
@ -9,4 +9,3 @@ module t;
|
|||||||
$finish;
|
$finish;
|
||||||
end
|
end
|
||||||
endmodule
|
endmodule
|
||||||
|
|
||||||
|
@ -7,4 +7,3 @@
|
|||||||
*/
|
*/
|
||||||
|
|
||||||
+define+GOT_DEF2=1
|
+define+GOT_DEF2=1
|
||||||
|
|
||||||
|
@ -12,4 +12,3 @@
|
|||||||
-pvalue+int32=123
|
-pvalue+int32=123
|
||||||
-Gint41=32'hdead_beef
|
-Gint41=32'hdead_beef
|
||||||
-pvalue+int42=32'hdead_beef
|
-pvalue+int42=32'hdead_beef
|
||||||
|
|
||||||
|
@ -17,4 +17,3 @@ module t;
|
|||||||
wire C = 15'd1234;
|
wire C = 15'd1234;
|
||||||
|
|
||||||
endmodule
|
endmodule
|
||||||
|
|
||||||
|
@ -17,4 +17,3 @@ compile(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -16,4 +16,3 @@ compile(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -25,4 +25,3 @@ module t (/*AUTOARG*/);
|
|||||||
end
|
end
|
||||||
|
|
||||||
endmodule
|
endmodule
|
||||||
|
|
||||||
|
@ -30,4 +30,3 @@ module t (/*AUTOARG*/);
|
|||||||
end
|
end
|
||||||
|
|
||||||
endmodule
|
endmodule
|
||||||
|
|
||||||
|
@ -77,4 +77,3 @@ endmodule
|
|||||||
module Mxor (output out, input a, b);
|
module Mxor (output out, input a, b);
|
||||||
assign out = (a ^ b);
|
assign out = (a ^ b);
|
||||||
endmodule
|
endmodule
|
||||||
|
|
||||||
|
@ -36,4 +36,3 @@ module Test ();
|
|||||||
end
|
end
|
||||||
endgenerate
|
endgenerate
|
||||||
endmodule
|
endmodule
|
||||||
|
|
||||||
|
@ -8,10 +8,9 @@ module top
|
|||||||
(
|
(
|
||||||
output logic [1:0] q,
|
output logic [1:0] q,
|
||||||
input logic [1:0] d,
|
input logic [1:0] d,
|
||||||
input logic clk
|
input logic clk
|
||||||
);
|
);
|
||||||
|
|
||||||
genvar i;
|
genvar i;
|
||||||
assign q[i] = d[i];
|
assign q[i] = d[i];
|
||||||
endmodule
|
endmodule
|
||||||
|
|
||||||
|
@ -30,4 +30,3 @@ module t (/*AUTOARG*/);
|
|||||||
end
|
end
|
||||||
|
|
||||||
endmodule
|
endmodule
|
||||||
|
|
||||||
|
@ -18,4 +18,3 @@ compile(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -25,4 +25,3 @@ compile(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -18,4 +18,3 @@ compile(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -25,4 +25,3 @@ compile(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -20,4 +20,3 @@ compile(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -15,4 +15,3 @@ compile(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -19,4 +19,3 @@ compile(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -17,4 +17,3 @@ compile(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -15,4 +15,3 @@ compile(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -20,4 +20,3 @@ compile(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -18,4 +18,3 @@ compile(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -25,4 +25,3 @@ compile(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -20,4 +20,3 @@ compile(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -18,4 +18,3 @@ compile(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -20,4 +20,3 @@ compile(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -19,4 +19,3 @@ compile(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -20,4 +20,3 @@ compile(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -19,4 +19,3 @@ compile(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -20,4 +20,3 @@ compile(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -129,4 +129,3 @@ module t (/*AUTOARG*/
|
|||||||
|
|
||||||
end
|
end
|
||||||
endmodule
|
endmodule
|
||||||
|
|
||||||
|
@ -31,4 +31,3 @@ module test#(
|
|||||||
endfunction
|
endfunction
|
||||||
|
|
||||||
endmodule
|
endmodule
|
||||||
|
|
||||||
|
@ -46,5 +46,3 @@ module submod (/*AUTOARG*/
|
|||||||
wire out = r_rst[STAGES-1];
|
wire out = r_rst[STAGES-1];
|
||||||
|
|
||||||
endmodule
|
endmodule
|
||||||
|
|
||||||
|
|
||||||
|
@ -16,4 +16,3 @@ compile(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -18,4 +18,3 @@ compile(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -20,4 +20,3 @@ compile(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -15,4 +15,3 @@ compile(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -19,4 +19,3 @@ compile(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -19,4 +19,3 @@ execute(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -19,4 +19,3 @@ compile(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -19,4 +19,3 @@ compile(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -7,4 +7,3 @@
|
|||||||
`define COMMON_GUARD 1
|
`define COMMON_GUARD 1
|
||||||
Inside `__FILE__.
|
Inside `__FILE__.
|
||||||
`endif
|
`endif
|
||||||
|
|
||||||
|
@ -19,4 +19,3 @@ compile(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -17,4 +17,3 @@ compile(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -17,4 +17,3 @@ compile(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -17,4 +17,3 @@ compile(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -17,4 +17,3 @@ compile(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -18,4 +18,3 @@ execute(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -18,4 +18,3 @@ execute(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -18,4 +18,3 @@ execute(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -18,4 +18,3 @@ execute(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -23,4 +23,3 @@ module t (
|
|||||||
initial struct2 = 64'h123456789_abcdef0;
|
initial struct2 = 64'h123456789_abcdef0;
|
||||||
always_comb s2 = struct2;
|
always_comb s2 = struct2;
|
||||||
endmodule
|
endmodule
|
||||||
|
|
||||||
|
@ -18,4 +18,3 @@ execute(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -19,4 +19,3 @@ compile(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -42,4 +42,3 @@ module t (
|
|||||||
always_comb datao_pre = { xxxxxxx_yyyyy_zzzz.dddddd.b1.fbyte, xxxxxxx_yyyyy_zzzz.dddddd.c1 };
|
always_comb datao_pre = { xxxxxxx_yyyyy_zzzz.dddddd.b1.fbyte, xxxxxxx_yyyyy_zzzz.dddddd.c1 };
|
||||||
|
|
||||||
endmodule
|
endmodule
|
||||||
|
|
||||||
|
@ -18,4 +18,3 @@ execute(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -18,4 +18,3 @@ execute(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -18,4 +18,3 @@ execute(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -6,4 +6,3 @@
|
|||||||
// Version 2.0.
|
// Version 2.0.
|
||||||
|
|
||||||
a0
|
a0
|
||||||
|
|
||||||
|
@ -19,4 +19,3 @@ vcd_identical("$Self->{obj_dir}/simx-fst2vcd.vcd", $Self->{golden_filename});
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -49,4 +49,3 @@ module sub (/*AUTOARG*/
|
|||||||
endgenerate
|
endgenerate
|
||||||
|
|
||||||
endmodule
|
endmodule
|
||||||
|
|
||||||
|
@ -73,4 +73,3 @@ endmodule
|
|||||||
module ChildB(input A, output Y);
|
module ChildB(input A, output Y);
|
||||||
assign Y = A;
|
assign Y = A;
|
||||||
endmodule
|
endmodule
|
||||||
|
|
||||||
|
@ -16,4 +16,3 @@ compile(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -16,4 +16,3 @@ compile(
|
|||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
||||||
|
@ -208,4 +208,3 @@ endmodule
|
|||||||
// end
|
// end
|
||||||
// end
|
// end
|
||||||
//endmodule
|
//endmodule
|
||||||
|
|
||||||
|
@ -23,4 +23,3 @@ module t;
|
|||||||
$finish;
|
$finish;
|
||||||
end
|
end
|
||||||
endmodule
|
endmodule
|
||||||
|
|
||||||
|
Loading…
Reference in New Issue
Block a user