mirror of
https://github.com/verilator/verilator.git
synced 2025-01-01 04:07:34 +00:00
Tests: Rename some tests into proper groups. No functional change.
This commit is contained in:
parent
48faf8d036
commit
2c52119d6c
@ -12,6 +12,6 @@ module t (/*AUTOARG*/);
|
||||
string s;
|
||||
initial begin
|
||||
// verilator lint_off PKGNODECL
|
||||
s = ClsRigh::m_s; // Bad typo
|
||||
s = ClsRigh::m_s; // Bad typo, issue #5475
|
||||
end
|
||||
endmodule
|
||||
|
@ -10,12 +10,12 @@
|
||||
import vltest_bootstrap
|
||||
|
||||
test.scenarios('simulator')
|
||||
test.top_filename = "t/t_const_opt.v"
|
||||
test.top_filename = "t/t_opt_const.v"
|
||||
|
||||
# Run the same design as t_const_opt.py without bitopt tree optimization to make sure that the result is same.
|
||||
# Run the same design as t_opt_const.py without bitopt tree optimization to make sure that the result is same.
|
||||
test.compile(verilator_flags2=[
|
||||
"-Wno-UNOPTTHREADS", "--stats", "-fno-const-bit-op-tree", test.t_dir +
|
||||
"/t_const_opt.cpp", "-CFLAGS", "-Wno-tautological-compare"
|
||||
"/t_opt_const.cpp", "-CFLAGS", "-Wno-tautological-compare"
|
||||
])
|
||||
|
||||
test.execute()
|
||||
|
@ -1,25 +1,25 @@
|
||||
%Error: t/t_number_bad.v:9:29: Number is missing value digits: 32'd
|
||||
%Error: t/t_const_number_bad.v:9:29: Number is missing value digits: 32'd
|
||||
9 | parameter integer FOO2 = 32'd-6;
|
||||
| ^~~~
|
||||
%Error: t/t_number_bad.v:10:29: Number is missing value digits: 32'd
|
||||
%Error: t/t_const_number_bad.v:10:29: Number is missing value digits: 32'd
|
||||
10 | parameter integer FOO3 = 32'd;
|
||||
| ^~~~
|
||||
%Error: t/t_number_bad.v:11:29: Number is missing value digits: 32'h
|
||||
%Error: t/t_const_number_bad.v:11:29: Number is missing value digits: 32'h
|
||||
11 | parameter integer FOO4 = 32'h;
|
||||
| ^~~~
|
||||
%Error: t/t_number_bad.v:13:29: Illegal character in binary constant: 2
|
||||
%Error: t/t_const_number_bad.v:13:29: Illegal character in binary constant: 2
|
||||
13 | parameter integer FOO5 = 32'b2;
|
||||
| ^~~~~
|
||||
%Error: t/t_number_bad.v:14:29: Illegal character in octal constant
|
||||
%Error: t/t_const_number_bad.v:14:29: Illegal character in octal constant
|
||||
14 | parameter integer FOO6 = 32'o8;
|
||||
| ^~~~~
|
||||
%Error: t/t_number_bad.v:17:33: Illegal character in binary constant: 4
|
||||
%Error: t/t_const_number_bad.v:17:33: Illegal character in binary constant: 4
|
||||
17 | parameter logic [3:0] FOO7 = 1'b1?4'hF:4'h1;
|
||||
| ^~~~~~
|
||||
%Error: t/t_number_bad.v:17:33: Too many digits for 1 bit number: '1'b1?4'
|
||||
%Error: t/t_const_number_bad.v:17:33: Too many digits for 1 bit number: '1'b1?4'
|
||||
17 | parameter logic [3:0] FOO7 = 1'b1?4'hF:4'h1;
|
||||
| ^~~~~~
|
||||
%Error: t/t_number_bad.v:17:39: syntax error, unexpected INTEGER NUMBER, expecting ';'
|
||||
%Error: t/t_const_number_bad.v:17:39: syntax error, unexpected INTEGER NUMBER, expecting ';'
|
||||
17 | parameter logic [3:0] FOO7 = 1'b1?4'hF:4'h1;
|
||||
| ^~~
|
||||
%Error: Exiting due to
|
@ -1,21 +1,21 @@
|
||||
%Warning-NEWERSTD: t/t_number_v_bad.v:11:25: Unbased unsized literals require IEEE 1800-2005 or later.
|
||||
%Warning-NEWERSTD: t/t_const_number_v_bad.v:11:25: Unbased unsized literals require IEEE 1800-2005 or later.
|
||||
11 | wire [127:0] FOO1 = '0;
|
||||
| ^~
|
||||
... For warning description see https://verilator.org/warn/NEWERSTD?v=latest
|
||||
... Use "/* verilator lint_off NEWERSTD */" and lint_on around source to disable this message.
|
||||
%Warning-NEWERSTD: t/t_number_v_bad.v:12:25: Unbased unsized literals require IEEE 1800-2005 or later.
|
||||
%Warning-NEWERSTD: t/t_const_number_v_bad.v:12:25: Unbased unsized literals require IEEE 1800-2005 or later.
|
||||
12 | wire [127:0] FOO2 = '1;
|
||||
| ^~
|
||||
%Warning-NEWERSTD: t/t_number_v_bad.v:13:25: Unbased unsized literals require IEEE 1800-2005 or later.
|
||||
%Warning-NEWERSTD: t/t_const_number_v_bad.v:13:25: Unbased unsized literals require IEEE 1800-2005 or later.
|
||||
13 | wire [127:0] FOO3 = 'x;
|
||||
| ^~
|
||||
%Warning-NEWERSTD: t/t_number_v_bad.v:14:25: Unbased unsized literals require IEEE 1800-2005 or later.
|
||||
%Warning-NEWERSTD: t/t_const_number_v_bad.v:14:25: Unbased unsized literals require IEEE 1800-2005 or later.
|
||||
14 | wire [127:0] FOO4 = 'X;
|
||||
| ^~
|
||||
%Warning-NEWERSTD: t/t_number_v_bad.v:15:25: Unbased unsized literals require IEEE 1800-2005 or later.
|
||||
%Warning-NEWERSTD: t/t_const_number_v_bad.v:15:25: Unbased unsized literals require IEEE 1800-2005 or later.
|
||||
15 | wire [127:0] FOO5 = 'z;
|
||||
| ^~
|
||||
%Warning-NEWERSTD: t/t_number_v_bad.v:16:25: Unbased unsized literals require IEEE 1800-2005 or later.
|
||||
%Warning-NEWERSTD: t/t_const_number_v_bad.v:16:25: Unbased unsized literals require IEEE 1800-2005 or later.
|
||||
16 | wire [127:0] FOO6 = 'Z;
|
||||
| ^~
|
||||
%Error: Exiting due to
|
@ -1,4 +1,4 @@
|
||||
%Error: Internal Error: t/t_const_opt.v:534:34: ../V3Ast.cpp:#: widthMismatch detected 'lhsp()->widthMin() != rhsp()->widthMin()' @ ../V3AstNodes.cpp:#OUT:(G/wu32/1) LHS:(G/w32) RHS:(G/wu32/1)
|
||||
%Error: Internal Error: t/t_opt_const.v:534:34: ../V3Ast.cpp:#: widthMismatch detected 'lhsp()->widthMin() != rhsp()->widthMin()' @ ../V3AstNodes.cpp:#OUT:(G/wu32/1) LHS:(G/w32) RHS:(G/wu32/1)
|
||||
534 | always_ff @(posedge clkin_data[0], posedge myfirst, posedge mysecond)
|
||||
| ^
|
||||
... See the manual at https://verilator.org/verilator_doc.html for more assistance.
|
||||
|
@ -10,7 +10,7 @@
|
||||
import vltest_bootstrap
|
||||
|
||||
test.scenarios('simulator')
|
||||
test.top_filename = "t/t_const_opt.v"
|
||||
test.top_filename = "t/t_opt_const.v"
|
||||
|
||||
test.lint(verilator_flags2=["--lint-only", "--debug-width"],
|
||||
fails=True,
|
||||
|
@ -10,9 +10,9 @@
|
||||
import vltest_bootstrap
|
||||
|
||||
test.scenarios('simulator')
|
||||
test.top_filename = "t/t_const_opt.v"
|
||||
test.top_filename = "t/t_opt_const.v"
|
||||
|
||||
test.compile(verilator_flags2=["-Wno-UNOPTTHREADS", "--stats", test.t_dir + "/t_const_opt.cpp"])
|
||||
test.compile(verilator_flags2=["-Wno-UNOPTTHREADS", "--stats", test.t_dir + "/t_opt_const.cpp"])
|
||||
|
||||
test.execute()
|
||||
|
@ -10,10 +10,10 @@
|
||||
import vltest_bootstrap
|
||||
|
||||
test.scenarios('simulator')
|
||||
test.top_filename = "t/t_const_opt.v"
|
||||
test.top_filename = "t/t_opt_const.v"
|
||||
|
||||
test.compile(verilator_flags2=[
|
||||
"-Wno-UNOPTTHREADS", "-fno-dfg", "-fno-expand", "--stats", test.t_dir + "/t_const_opt.cpp"
|
||||
"-Wno-UNOPTTHREADS", "-fno-dfg", "-fno-expand", "--stats", test.t_dir + "/t_opt_const.cpp"
|
||||
])
|
||||
|
||||
test.execute()
|
Loading…
Reference in New Issue
Block a user