From 2c52119d6c73c053df12160b19962d45ecfdcc0a Mon Sep 17 00:00:00 2001 From: Wilson Snyder Date: Sat, 21 Sep 2024 10:04:58 -0400 Subject: [PATCH] Tests: Rename some tests into proper groups. No functional change. --- test_regress/t/t_class_ref_bad.v | 2 +- test_regress/t/t_const_no_opt.py | 6 +++--- .../{t_number_bad.out => t_const_number_bad.out} | 16 ++++++++-------- .../t/{t_number_bad.py => t_const_number_bad.py} | 0 .../t/{t_number_bad.v => t_const_number_bad.v} | 0 ...number_v_bad.out => t_const_number_v_bad.out} | 12 ++++++------ ...t_number_v_bad.py => t_const_number_v_bad.py} | 0 .../{t_number_v_bad.v => t_const_number_v_bad.v} | 0 test_regress/t/t_debug_width.out | 2 +- test_regress/t/t_debug_width.py | 2 +- .../t/{t_const_opt.cpp => t_opt_const.cpp} | 0 .../t/{t_const_opt.py => t_opt_const.py} | 0 test_regress/t/{t_const_opt.v => t_opt_const.v} | 0 .../t/{t_const_opt_cov.py => t_opt_const_cov.py} | 0 .../t/{t_const_opt_cov.v => t_opt_const_cov.v} | 0 .../t/{t_const_opt_dfg.py => t_opt_const_dfg.py} | 4 ++-- ...opt_no_expand.py => t_opt_const_no_expand.py} | 4 ++-- .../t/{t_const_opt_or.py => t_opt_const_or.py} | 0 .../t/{t_const_opt_or.v => t_opt_const_or.v} | 0 .../t/{t_const_opt_red.py => t_opt_const_red.py} | 0 .../t/{t_const_opt_red.v => t_opt_const_red.v} | 0 ...opt_shortcut.cpp => t_opt_const_shortcut.cpp} | 0 ...t_opt_shortcut.py => t_opt_const_shortcut.py} | 0 ...nst_opt_shortcut.v => t_opt_const_shortcut.v} | 0 ..._of_range_sel.py => t_select_out_of_range.py} | 0 ...ut_of_range_sel.v => t_select_out_of_range.v} | 0 26 files changed, 24 insertions(+), 24 deletions(-) rename test_regress/t/{t_number_bad.out => t_const_number_bad.out} (55%) rename test_regress/t/{t_number_bad.py => t_const_number_bad.py} (100%) rename test_regress/t/{t_number_bad.v => t_const_number_bad.v} (100%) rename test_regress/t/{t_number_v_bad.out => t_const_number_v_bad.out} (50%) rename test_regress/t/{t_number_v_bad.py => t_const_number_v_bad.py} (100%) rename test_regress/t/{t_number_v_bad.v => t_const_number_v_bad.v} (100%) rename test_regress/t/{t_const_opt.cpp => t_opt_const.cpp} (100%) rename test_regress/t/{t_const_opt.py => t_opt_const.py} (100%) rename test_regress/t/{t_const_opt.v => t_opt_const.v} (100%) rename test_regress/t/{t_const_opt_cov.py => t_opt_const_cov.py} (100%) rename test_regress/t/{t_const_opt_cov.v => t_opt_const_cov.v} (100%) rename test_regress/t/{t_const_opt_dfg.py => t_opt_const_dfg.py} (89%) rename test_regress/t/{t_const_opt_no_expand.py => t_opt_const_no_expand.py} (90%) rename test_regress/t/{t_const_opt_or.py => t_opt_const_or.py} (100%) rename test_regress/t/{t_const_opt_or.v => t_opt_const_or.v} (100%) rename test_regress/t/{t_const_opt_red.py => t_opt_const_red.py} (100%) rename test_regress/t/{t_const_opt_red.v => t_opt_const_red.v} (100%) rename test_regress/t/{t_const_opt_shortcut.cpp => t_opt_const_shortcut.cpp} (100%) rename test_regress/t/{t_const_opt_shortcut.py => t_opt_const_shortcut.py} (100%) rename test_regress/t/{t_const_opt_shortcut.v => t_opt_const_shortcut.v} (100%) rename test_regress/t/{t_out_of_range_sel.py => t_select_out_of_range.py} (100%) rename test_regress/t/{t_out_of_range_sel.v => t_select_out_of_range.v} (100%) diff --git a/test_regress/t/t_class_ref_bad.v b/test_regress/t/t_class_ref_bad.v index ef22b6b6a..5f28f6967 100644 --- a/test_regress/t/t_class_ref_bad.v +++ b/test_regress/t/t_class_ref_bad.v @@ -12,6 +12,6 @@ module t (/*AUTOARG*/); string s; initial begin // verilator lint_off PKGNODECL - s = ClsRigh::m_s; // Bad typo + s = ClsRigh::m_s; // Bad typo, issue #5475 end endmodule diff --git a/test_regress/t/t_const_no_opt.py b/test_regress/t/t_const_no_opt.py index 94595165c..6633ac18d 100755 --- a/test_regress/t/t_const_no_opt.py +++ b/test_regress/t/t_const_no_opt.py @@ -10,12 +10,12 @@ import vltest_bootstrap test.scenarios('simulator') -test.top_filename = "t/t_const_opt.v" +test.top_filename = "t/t_opt_const.v" -# Run the same design as t_const_opt.py without bitopt tree optimization to make sure that the result is same. +# Run the same design as t_opt_const.py without bitopt tree optimization to make sure that the result is same. test.compile(verilator_flags2=[ "-Wno-UNOPTTHREADS", "--stats", "-fno-const-bit-op-tree", test.t_dir + - "/t_const_opt.cpp", "-CFLAGS", "-Wno-tautological-compare" + "/t_opt_const.cpp", "-CFLAGS", "-Wno-tautological-compare" ]) test.execute() diff --git a/test_regress/t/t_number_bad.out b/test_regress/t/t_const_number_bad.out similarity index 55% rename from test_regress/t/t_number_bad.out rename to test_regress/t/t_const_number_bad.out index f70c55582..64979a485 100644 --- a/test_regress/t/t_number_bad.out +++ b/test_regress/t/t_const_number_bad.out @@ -1,25 +1,25 @@ -%Error: t/t_number_bad.v:9:29: Number is missing value digits: 32'd +%Error: t/t_const_number_bad.v:9:29: Number is missing value digits: 32'd 9 | parameter integer FOO2 = 32'd-6; | ^~~~ -%Error: t/t_number_bad.v:10:29: Number is missing value digits: 32'd +%Error: t/t_const_number_bad.v:10:29: Number is missing value digits: 32'd 10 | parameter integer FOO3 = 32'd; | ^~~~ -%Error: t/t_number_bad.v:11:29: Number is missing value digits: 32'h +%Error: t/t_const_number_bad.v:11:29: Number is missing value digits: 32'h 11 | parameter integer FOO4 = 32'h; | ^~~~ -%Error: t/t_number_bad.v:13:29: Illegal character in binary constant: 2 +%Error: t/t_const_number_bad.v:13:29: Illegal character in binary constant: 2 13 | parameter integer FOO5 = 32'b2; | ^~~~~ -%Error: t/t_number_bad.v:14:29: Illegal character in octal constant +%Error: t/t_const_number_bad.v:14:29: Illegal character in octal constant 14 | parameter integer FOO6 = 32'o8; | ^~~~~ -%Error: t/t_number_bad.v:17:33: Illegal character in binary constant: 4 +%Error: t/t_const_number_bad.v:17:33: Illegal character in binary constant: 4 17 | parameter logic [3:0] FOO7 = 1'b1?4'hF:4'h1; | ^~~~~~ -%Error: t/t_number_bad.v:17:33: Too many digits for 1 bit number: '1'b1?4' +%Error: t/t_const_number_bad.v:17:33: Too many digits for 1 bit number: '1'b1?4' 17 | parameter logic [3:0] FOO7 = 1'b1?4'hF:4'h1; | ^~~~~~ -%Error: t/t_number_bad.v:17:39: syntax error, unexpected INTEGER NUMBER, expecting ';' +%Error: t/t_const_number_bad.v:17:39: syntax error, unexpected INTEGER NUMBER, expecting ';' 17 | parameter logic [3:0] FOO7 = 1'b1?4'hF:4'h1; | ^~~ %Error: Exiting due to diff --git a/test_regress/t/t_number_bad.py b/test_regress/t/t_const_number_bad.py similarity index 100% rename from test_regress/t/t_number_bad.py rename to test_regress/t/t_const_number_bad.py diff --git a/test_regress/t/t_number_bad.v b/test_regress/t/t_const_number_bad.v similarity index 100% rename from test_regress/t/t_number_bad.v rename to test_regress/t/t_const_number_bad.v diff --git a/test_regress/t/t_number_v_bad.out b/test_regress/t/t_const_number_v_bad.out similarity index 50% rename from test_regress/t/t_number_v_bad.out rename to test_regress/t/t_const_number_v_bad.out index af57029f9..b222a145f 100644 --- a/test_regress/t/t_number_v_bad.out +++ b/test_regress/t/t_const_number_v_bad.out @@ -1,21 +1,21 @@ -%Warning-NEWERSTD: t/t_number_v_bad.v:11:25: Unbased unsized literals require IEEE 1800-2005 or later. +%Warning-NEWERSTD: t/t_const_number_v_bad.v:11:25: Unbased unsized literals require IEEE 1800-2005 or later. 11 | wire [127:0] FOO1 = '0; | ^~ ... For warning description see https://verilator.org/warn/NEWERSTD?v=latest ... Use "/* verilator lint_off NEWERSTD */" and lint_on around source to disable this message. -%Warning-NEWERSTD: t/t_number_v_bad.v:12:25: Unbased unsized literals require IEEE 1800-2005 or later. +%Warning-NEWERSTD: t/t_const_number_v_bad.v:12:25: Unbased unsized literals require IEEE 1800-2005 or later. 12 | wire [127:0] FOO2 = '1; | ^~ -%Warning-NEWERSTD: t/t_number_v_bad.v:13:25: Unbased unsized literals require IEEE 1800-2005 or later. +%Warning-NEWERSTD: t/t_const_number_v_bad.v:13:25: Unbased unsized literals require IEEE 1800-2005 or later. 13 | wire [127:0] FOO3 = 'x; | ^~ -%Warning-NEWERSTD: t/t_number_v_bad.v:14:25: Unbased unsized literals require IEEE 1800-2005 or later. +%Warning-NEWERSTD: t/t_const_number_v_bad.v:14:25: Unbased unsized literals require IEEE 1800-2005 or later. 14 | wire [127:0] FOO4 = 'X; | ^~ -%Warning-NEWERSTD: t/t_number_v_bad.v:15:25: Unbased unsized literals require IEEE 1800-2005 or later. +%Warning-NEWERSTD: t/t_const_number_v_bad.v:15:25: Unbased unsized literals require IEEE 1800-2005 or later. 15 | wire [127:0] FOO5 = 'z; | ^~ -%Warning-NEWERSTD: t/t_number_v_bad.v:16:25: Unbased unsized literals require IEEE 1800-2005 or later. +%Warning-NEWERSTD: t/t_const_number_v_bad.v:16:25: Unbased unsized literals require IEEE 1800-2005 or later. 16 | wire [127:0] FOO6 = 'Z; | ^~ %Error: Exiting due to diff --git a/test_regress/t/t_number_v_bad.py b/test_regress/t/t_const_number_v_bad.py similarity index 100% rename from test_regress/t/t_number_v_bad.py rename to test_regress/t/t_const_number_v_bad.py diff --git a/test_regress/t/t_number_v_bad.v b/test_regress/t/t_const_number_v_bad.v similarity index 100% rename from test_regress/t/t_number_v_bad.v rename to test_regress/t/t_const_number_v_bad.v diff --git a/test_regress/t/t_debug_width.out b/test_regress/t/t_debug_width.out index 5400328e1..c3dcead67 100644 --- a/test_regress/t/t_debug_width.out +++ b/test_regress/t/t_debug_width.out @@ -1,4 +1,4 @@ -%Error: Internal Error: t/t_const_opt.v:534:34: ../V3Ast.cpp:#: widthMismatch detected 'lhsp()->widthMin() != rhsp()->widthMin()' @ ../V3AstNodes.cpp:#OUT:(G/wu32/1) LHS:(G/w32) RHS:(G/wu32/1) +%Error: Internal Error: t/t_opt_const.v:534:34: ../V3Ast.cpp:#: widthMismatch detected 'lhsp()->widthMin() != rhsp()->widthMin()' @ ../V3AstNodes.cpp:#OUT:(G/wu32/1) LHS:(G/w32) RHS:(G/wu32/1) 534 | always_ff @(posedge clkin_data[0], posedge myfirst, posedge mysecond) | ^ ... See the manual at https://verilator.org/verilator_doc.html for more assistance. diff --git a/test_regress/t/t_debug_width.py b/test_regress/t/t_debug_width.py index 73c4e1e72..1b7346266 100755 --- a/test_regress/t/t_debug_width.py +++ b/test_regress/t/t_debug_width.py @@ -10,7 +10,7 @@ import vltest_bootstrap test.scenarios('simulator') -test.top_filename = "t/t_const_opt.v" +test.top_filename = "t/t_opt_const.v" test.lint(verilator_flags2=["--lint-only", "--debug-width"], fails=True, diff --git a/test_regress/t/t_const_opt.cpp b/test_regress/t/t_opt_const.cpp similarity index 100% rename from test_regress/t/t_const_opt.cpp rename to test_regress/t/t_opt_const.cpp diff --git a/test_regress/t/t_const_opt.py b/test_regress/t/t_opt_const.py similarity index 100% rename from test_regress/t/t_const_opt.py rename to test_regress/t/t_opt_const.py diff --git a/test_regress/t/t_const_opt.v b/test_regress/t/t_opt_const.v similarity index 100% rename from test_regress/t/t_const_opt.v rename to test_regress/t/t_opt_const.v diff --git a/test_regress/t/t_const_opt_cov.py b/test_regress/t/t_opt_const_cov.py similarity index 100% rename from test_regress/t/t_const_opt_cov.py rename to test_regress/t/t_opt_const_cov.py diff --git a/test_regress/t/t_const_opt_cov.v b/test_regress/t/t_opt_const_cov.v similarity index 100% rename from test_regress/t/t_const_opt_cov.v rename to test_regress/t/t_opt_const_cov.v diff --git a/test_regress/t/t_const_opt_dfg.py b/test_regress/t/t_opt_const_dfg.py similarity index 89% rename from test_regress/t/t_const_opt_dfg.py rename to test_regress/t/t_opt_const_dfg.py index 57f6f5232..eed838d28 100755 --- a/test_regress/t/t_const_opt_dfg.py +++ b/test_regress/t/t_opt_const_dfg.py @@ -10,9 +10,9 @@ import vltest_bootstrap test.scenarios('simulator') -test.top_filename = "t/t_const_opt.v" +test.top_filename = "t/t_opt_const.v" -test.compile(verilator_flags2=["-Wno-UNOPTTHREADS", "--stats", test.t_dir + "/t_const_opt.cpp"]) +test.compile(verilator_flags2=["-Wno-UNOPTTHREADS", "--stats", test.t_dir + "/t_opt_const.cpp"]) test.execute() diff --git a/test_regress/t/t_const_opt_no_expand.py b/test_regress/t/t_opt_const_no_expand.py similarity index 90% rename from test_regress/t/t_const_opt_no_expand.py rename to test_regress/t/t_opt_const_no_expand.py index b19ceb1c6..34f51af28 100755 --- a/test_regress/t/t_const_opt_no_expand.py +++ b/test_regress/t/t_opt_const_no_expand.py @@ -10,10 +10,10 @@ import vltest_bootstrap test.scenarios('simulator') -test.top_filename = "t/t_const_opt.v" +test.top_filename = "t/t_opt_const.v" test.compile(verilator_flags2=[ - "-Wno-UNOPTTHREADS", "-fno-dfg", "-fno-expand", "--stats", test.t_dir + "/t_const_opt.cpp" + "-Wno-UNOPTTHREADS", "-fno-dfg", "-fno-expand", "--stats", test.t_dir + "/t_opt_const.cpp" ]) test.execute() diff --git a/test_regress/t/t_const_opt_or.py b/test_regress/t/t_opt_const_or.py similarity index 100% rename from test_regress/t/t_const_opt_or.py rename to test_regress/t/t_opt_const_or.py diff --git a/test_regress/t/t_const_opt_or.v b/test_regress/t/t_opt_const_or.v similarity index 100% rename from test_regress/t/t_const_opt_or.v rename to test_regress/t/t_opt_const_or.v diff --git a/test_regress/t/t_const_opt_red.py b/test_regress/t/t_opt_const_red.py similarity index 100% rename from test_regress/t/t_const_opt_red.py rename to test_regress/t/t_opt_const_red.py diff --git a/test_regress/t/t_const_opt_red.v b/test_regress/t/t_opt_const_red.v similarity index 100% rename from test_regress/t/t_const_opt_red.v rename to test_regress/t/t_opt_const_red.v diff --git a/test_regress/t/t_const_opt_shortcut.cpp b/test_regress/t/t_opt_const_shortcut.cpp similarity index 100% rename from test_regress/t/t_const_opt_shortcut.cpp rename to test_regress/t/t_opt_const_shortcut.cpp diff --git a/test_regress/t/t_const_opt_shortcut.py b/test_regress/t/t_opt_const_shortcut.py similarity index 100% rename from test_regress/t/t_const_opt_shortcut.py rename to test_regress/t/t_opt_const_shortcut.py diff --git a/test_regress/t/t_const_opt_shortcut.v b/test_regress/t/t_opt_const_shortcut.v similarity index 100% rename from test_regress/t/t_const_opt_shortcut.v rename to test_regress/t/t_opt_const_shortcut.v diff --git a/test_regress/t/t_out_of_range_sel.py b/test_regress/t/t_select_out_of_range.py similarity index 100% rename from test_regress/t/t_out_of_range_sel.py rename to test_regress/t/t_select_out_of_range.py diff --git a/test_regress/t/t_out_of_range_sel.v b/test_regress/t/t_select_out_of_range.v similarity index 100% rename from test_regress/t/t_out_of_range_sel.v rename to test_regress/t/t_select_out_of_range.v