verilator/docs/spelling.txt

963 lines
7.4 KiB
Plaintext

ABCp
Accellera
Affe
Aleksander
Alexandre
Ami
Amir
Anastasiadis
Anglin
Anikin
Antmicro
Antonin
Antwerpen
Arasanipalai
Arjen
Asciidoc
Ashutosh
Ast
Atmel
Aurelien
Bagri
Baltazar
Bardsley
Benchmarking
Berman
Bhagavatula
Bhattacharyya
Binderman
Bleiweiss
Bogdan
Bouchard
Boumenot
Brej
Briquet
Brownlee
Buckenmaier
Bybell
CMake
Cai
Cavium
Cfuncs
Chandan
Chitlesh
Christophe
Cochrane
Corteggiani
Cuan
Cygwin
DErrico
DFG
Da
Danilo
Dannoritzer
Das
Dcache
Deadman
Debacker
Defparams
Denio
Deprecations
Deroo
Dickol
Diez
Dimitris
Dinotrace
Djordjevic
Dobbie
Dockerfile
Donal
Doorn
Doxygen
Dressler
Duraid
Dutton
Dzetkulic
Eda
Eddleston
Egbert
Egil
Ehab
Eiler
Eivind
El
Elbourne
Embecosm
Engstrom
Enzo
Eugen
Fabrizio
Fekete
Ferrandi
Flachs
Flavien
Foletto
Forker
Francillon
Fredieu
GTKWave
Galbi
Gantt
Garnal
Gartner
Gelinek
Geoff
Gernot
Gerst
Gielda
Gigerl
Gileadi
Giri
Gisselquist
Gladkikh
Goessling
Gonnen
Goorah
Gossner
Graphviz
Graybeal
Grobman
Grulfen
Gunter
Guo
Hao
Harboe
Hashimoto
Hayenga
Hewson
Hicamp
Hiroki
Holdsworth
Holme
Homebrew
Hornung
Hossell
Hsu
Hyperthreading
Ibrahim
Iles
Inlines
Inout
Iru
Iyer
Iztok
Jacko
Jae
Jalloq
Jankowski
Jannis
Jens
Jeras
Jiuyang
Joannou
Joly
Jullien
Junji
Junyi
Kaalia
Kagen
Kahlich
Kahn
Kai
Kamendje
Kandadi
Kaplan
Karge
Karlsson
Katz
Katzman
Kelin
Keren
Keyi
Kimmitt
Kindgren
Kirkham
Klnv
Koenig
Koh
Kolecki
Koonce
Korteland
Koszek
Kouping
Kravitz
Krolnik
Kruse
Kumashikar
Kuoping
Kurachi
Laeufer
Laurens
Lavino
Leber
Leendert
Lem
Lesik
Liland
Liu
Lockhart
Longo
Lussier
MMD
MODDUP
MTasks
Madina
Magne
Mahesh
Mahmoudy
Makefile
Makefiles
Matveyenko
Maupin
Mdir
Mednick
Menküc
Michiels
Microsystems
Milanovic
Millis
MinW
Mindspeed
MingW
Miodrag
ModelSim
Modport
Moinak
Mong
Muhlestein
Multithreaded
Multithreading
NOUNOPTFLAT
NaN
Nalbantis
Narayan
Nassim
Nauticus
Newgard
Nigam
Nikana
Niranjan
Nitza
Noack
Nodine
Oleg
Olof
Olofsson
Oyvind
PLI
Patricio
Petr
Piechotka
Piersall
Platzer
Plunkett
Popolon
Popov
Prabhat
Prabhu
Prateek
Pre
Preprocess
Pretet
Priyadharshini
Pullup
Pulver
Puri
Questa
Rachit
Ralf
Rapp
Redhat
Reitan
Renga
Requin
Rodionov
Rolfe
Roodselaar
Runtime
Ruud
Rystsov
STandarD
Salman
Sanguinetti
Sanjay
Scharrer
Seitz
Shankar
Shanshan
Sharad
Shareef
Sheng
Shi
Shinkarovsky
Shirakawa
Shuba
Shunyao
Slatter
SoC
Sobhan
Sokorac
Solaris
Solomatnikov
Solt
Southwell
Srini
Srinivasan
Stamness
Stephane
Stoddard
Stroebel
Strouble
Stucki
Su
Suguimoto
Sundararajan
Suse
Syms
Synopsys
SystemC
SystemVerilog
Takatsukasa
Tambe
Tariq
Tejada
Tengstrand
Terpstra
Thiede
Thierry
Thyer
Tichelaar
Tomov
Tood
Topa
Tota
Trefor
Tresidder
Tri
Tristate
Turton
UNOPTFLAT
Ubixum
Uints
Undefines
Unsized
Urbach
Uselib
Usha
Usuario
VERILATOR
Vasu
Vdeeptemp
Vdly
Vemumtab
Vemuri
Venkataramanan
Veriable
Verialted
Verilate
Verilated
Verilating
Verilation
Verilator
Verilog
Vighnesh
Viktor
Vm
Vukobratovic
Wai
Wasson
Welch
Werror
Wfuture
Whatson
Wildman
Wim
Wno
Wojciech
Wolfel
Wouters
Wpedantic
Wwarn
XSim
Xcelium
Xiaoliang
Xuan
Xuanqi
Yazdanbakhsh
Yi
Yike
Yinan
Yosys
Yu
Zhang
abirkmanis
accessor
accessors
adrienlemasle
agrobman
ahouska
al
ala
alejandro
algrobman
andit
ar
architected
args
arrarys
assertOn
async
ato
atoi
autoconf
autoflush
bXXXX
backend
backslashed
backtrace
backtraces
basename
bbox
benchmarking
biguint
biops
bisonpre
bitOpTree
bitop
bitstoreal
blackbox
bokke
bool
brancoliticus
buf
bufif
buildenv
bv
bvs
callValueCbs
casex
casez
casted
castro
cb
ccache
ccall
cdc
ceil
celldefine
cerr
cfunc
cfuncs
chandle
chandles
changeDetect
chenguokai
clk
clocker
cmake
cmos
combinational
combinatorial
commandArgsPlusMatch
compilable
concat
concats
conf
config
const
constexpr
constpool
coredump
coroutine
countbits
countones
cout
cpp
cpu
ctor
ctrace
cutable
cygwin
dM
da
danbone
dat
datadir
datafiles
david
ddd
deassign
debugi
defenv
defname
defparam
demangling
dep
der
dereference
desassign
destructor
detections
dev
devel
dir
displayb
distcc
doxygen
dpiGetContext
dpic
dsvf
dtor
dumpall
dumpfile
dumpi
dumplimit
dumpoff
dumpon
dumpportlimit
dumpports
dumpportsall
dumpportslimit
dumpportsoff
dumpportson
dumpvars
dut
dx
dynarray
elab
elike
elsif
endcase
endcelldefine
endfunction
endgenerate
endian
endianness
endif
endmodule
endprotect
endspecify
endtask
engr
entrypoint
enum
enums
env
envvar
eof
errae
erroring
et
eval
evals
exe
executables
expr
extern
ezchi
fanin
fasttrace
fauto
fbranch
fclose
fdisplay
feedthrus
feof
ferror
fflush
fgetc
fgets
filesystem
filt
flto
flushCall
fno
fopen
forceable
foreach
fprintf
fprofile
fread
frewind
fs
fscanf
fseek
fsiegle
fst
fstrict
ftell
fullskew
func
funcs
fwrite
gantt
gcc
gcda
gdb
genblk
genvar
genvars
getenv
getline
gmake
gmon
gotFinish
gprof
gtkwave
hdr
hdzhangdoc
hh
hier
hierCMakeArgs
hierMkArgs
hierVer
hx
hyperthreading
hyperthreads
icecream
idmap
ifdef
ifdefed
iff
ifndef
impot
incdir
includer
incrementing
inferfaces
inhibitSim
initarray
initializer
initializers
inits
inlined
inlining
inout
inouts
inserted
instantiation
instantiations
intra
iostream
ish
isunbounded
isunknown
jobserver
killua
lang
lcov
ld
leavinel
len
libc
libext
libgoogle
libsystemc
libtcmalloc
linkers
linter
linux
liu
livelock
ln
loc
localparam
localparams
localtime
logicals
longint
lossy
lsb
lubc
lvalue
lxt
macromodule
makefile
makefiles
manpages
metacomment
metacomments
miree
mis
misconnected
misconversion
missized
mk
mno
modport
modports
mpb
msg
msvc
mtask
mtasks
mulithreaded
mult
multidim
multidriven
multiinterfaces
multiline
multipling
multipoint
multithread
multithreaded
multithreading
musl
mutexes
mux
myftptoyman
mysignal
namespace
nand
nanduraj
nasties
negedge
negedges
netlist
netlists
nettype
nmos
noassert
nochange
noconfig
nol
nonblocking
noprivate
noreturn
notif
nullptr
onehot
ooo
oprofile
ortegon
oversubscription
parallelized
param
parameterized
params
parens
pawel
pc
pdf
perf
perftools
pgo
picoChip
pinIndex
pinout
plusargs
pmos
poping
portbind
portlists
posedge
posix
postfix
postincreemnt
postincrement
pragma
pragmas
pre
precisions
predefines
prepend
prepended
preprocess
preprocessed
preprocessing
preprocessor
prerelease
prev
printf
printtimescale
profcfunc
profiler
prototyptes
ps
pthread
ptr
pulldown
pulldowns
pullup
pvalue
pwd
py
qrq
radix
randc
randcase
rarr
rdtsc
reStructuredText
readme
readmem
readmemb
readmemh
realpath
realtime
recoding
recrem
redeclaring
regs
reloop
resetall
respecified
rodata
rolloverSize
rr
rst
runtime
runtimes
rw
sVerilator
sawatzke
sc
scalared
sccanf
seg
setuphold
sformat
sformatf
shareefj
shortint
shortreal
signame
sp
specparam
splitme
spp
sqrt
src
srcdir
srcfile
sscanf
stderr
stdin
stdout
stime
stmts
strcasecmp
stringification
stringified
stringify
struct
structs
subcell
subcells
subexpressions
submakes
submodule
submodules
substring
sv
svBitVal
svBitVecVal
svGet
svLogicVal
svdpi
swrite
sys
systemc
tenghtt
testbench
threadsafe
threashold
timeInc
timeformat
timeprecision
timeskew
timeunit
tinshark
tm
tolower
toolchain
topcell
toplevel
toupper
traceEverOn
tran
treei
tri
tristate
tristates
trunc
txt
typ
typedef
typedef'ed
typedefed
typedefs
typename
uint
un
undef
undefineall
undriven
ungetc
uniquified
unistd
unlink
unlinked
unnamedblk
unopt
unoptflat
unoptimizable
unroller
unsized
unsup
untyped
urandom
uselib
uwire
uwires
vc
vcd
vcddiff
vcoverage
vec
ver
verFiles
verible
verilate
verilated
verilator
verilog
verilogmod
verimake
vl
vlopt
vlt
vltstd
vluint
vpi
vpiDefName
vpiLeftRange
vpiModule
vpiSize
vpiSuppressVal
vpiTimeUnit
vpiType
vpm
vpp
warmup
waveforms
whitespace
widthed
wreal
writeb
writeme
writemem
writememb
writememh
xiak
xin
xml
xnor
xout
xuejiazidi
yanx
ypq
yurivict
zdave
Øyvind