Add column numbers to errors and warnings.

This commit is contained in:
Wilson Snyder 2020-03-14 22:02:42 -04:00
parent ee8dd32c04
commit 81c659957e
221 changed files with 938 additions and 936 deletions

View File

@ -5,6 +5,8 @@ The contributors that suggested a given feature are shown in []. Thanks!
* Verilator 4.031 devel
** Add column numbers to errors and warnings.
*** Add setting VM_PARALLEL_BUILDS=1 when using --output-split, #2185.

View File

@ -288,7 +288,7 @@ string FileLine::asciiLineCol() const {
}
string FileLine::ascii() const {
// For most errors especially in the parser the lastLineno is more accurate than firstLineno
return filename()+":"+cvtToStr(lastLineno());
return filename() + ":" + cvtToStr(lastLineno()) + ":" + cvtToStr(firstColumn());
}
std::ostream& operator<<(std::ostream& os, FileLine* fileline) {
os <<fileline->ascii()<<": "<<std::hex;

View File

@ -1,21 +1,21 @@
%Error: t/t_array_backw_index_bad.v:13: Slice selection '[1:3]' has backward indexing versus data type's '[3:0]'
: ... In instance t
%Error: t/t_array_backw_index_bad.v:13:19: Slice selection '[1:3]' has backward indexing versus data type's '[3:0]'
: ... In instance t
array_assign[1:3] = '{32'd4, 32'd3, 32'd2};
^
%Error: t/t_array_backw_index_bad.v:14: Slice selection '[3:1]' has backward indexing versus data type's '[0:3]'
: ... In instance t
%Error: t/t_array_backw_index_bad.v:14:20: Slice selection '[3:1]' has backward indexing versus data type's '[0:3]'
: ... In instance t
larray_assign[3:1] = '{32'd4, 32'd3, 32'd2};
^
%Error: t/t_array_backw_index_bad.v:16: Slice selection index '[4:3]' outside data type's '[3:0]'
: ... In instance t
%Error: t/t_array_backw_index_bad.v:16:19: Slice selection index '[4:3]' outside data type's '[3:0]'
: ... In instance t
array_assign[4:3] = '{32'd4, 32'd3};
^
%Error: t/t_array_backw_index_bad.v:17: Slice selection index '[1:-1]' outside data type's '[3:0]'
: ... In instance t
%Error: t/t_array_backw_index_bad.v:17:19: Slice selection index '[1:-1]' outside data type's '[3:0]'
: ... In instance t
array_assign[1:-1] = '{32'd4, 32'd3};
^
%Error: t/t_array_backw_index_bad.v:17: Assignment pattern missed initializing elements: -1
: ... In instance t
%Error: t/t_array_backw_index_bad.v:17:28: Assignment pattern missed initializing elements: -1
: ... In instance t
array_assign[1:-1] = '{32'd4, 32'd3};
^~
%Error: Exiting due to

View File

@ -1,9 +1,9 @@
%Error: t/t_array_list_bad.v:37: Assignment pattern missed initializing elements: MEMBERDTYPE 't3'
: ... In instance t
%Error: t/t_array_list_bad.v:37:25: Assignment pattern missed initializing elements: MEMBERDTYPE 't3'
: ... In instance t
test_out <= '{'0, '0};
^~
%Warning-WIDTH: t/t_array_list_bad.v:37: Operator ASSIGNDLY expects 3 bits on the Assign RHS, but Assign RHS's CONCAT generates 2 bits.
: ... In instance t
%Warning-WIDTH: t/t_array_list_bad.v:37:22: Operator ASSIGNDLY expects 3 bits on the Assign RHS, but Assign RHS's CONCAT generates 2 bits.
: ... In instance t
test_out <= '{'0, '0};
^~
... Use "/* verilator lint_off WIDTH */" and lint_on around source to disable this message.

View File

@ -1,5 +1,5 @@
%Error: t/t_array_pattern_bad.v:23: Assignment pattern key 'valids' not found as member
: ... In instance t
%Error: t/t_array_pattern_bad.v:23:18: Assignment pattern key 'valids' not found as member
: ... In instance t
valids: '1};
^~~~~~
%Error: Exiting due to

View File

@ -1,18 +1,18 @@
-Info: t/t_assert_comp_bad.v:9: User compile-time info
: ... In instance t
-Info: t/t_assert_comp_bad.v:9:7: User compile-time info
: ... In instance t
$info("User compile-time info");
^~~~~
%Warning-USERWARN: t/t_assert_comp_bad.v:10: User compile-time warning
: ... In instance t
%Warning-USERWARN: t/t_assert_comp_bad.v:10:7: User compile-time warning
: ... In instance t
$warning("User compile-time warning");
^~~~~~~~
... Use "/* verilator lint_off USERWARN */" and lint_on around source to disable this message.
%Warning-USERWARN: t/t_assert_comp_bad.v:11: 1
: ... In instance t
%Warning-USERWARN: t/t_assert_comp_bad.v:11:7: 1
: ... In instance t
$warning(1);
^~~~~~~~
%Warning-USERERROR: t/t_assert_comp_bad.v:12: User compile-time error
: ... In instance t
%Warning-USERERROR: t/t_assert_comp_bad.v:12:7: User compile-time error
: ... In instance t
$error("User compile-time error");
^~~~~~
%Error: Exiting due to

View File

@ -1,7 +1,7 @@
%Error: t/t_assert_dup_bad.v:16: Duplicate declaration of block: 'covlabel'
%Error: t/t_assert_dup_bad.v:16:4: Duplicate declaration of block: 'covlabel'
covlabel:
^~~~~~~~
t/t_assert_dup_bad.v:14: ... Location of original declaration
t/t_assert_dup_bad.v:14:4: ... Location of original declaration
covlabel:
^~~~~~~~
%Error: Exiting due to

View File

@ -1,37 +1,37 @@
%Error: t/t_assoc_meth_bad.v:13: The 1 arguments passed to .num method does not match its requiring 0 arguments
: ... In instance t
%Error: t/t_assoc_meth_bad.v:13:13: The 1 arguments passed to .num method does not match its requiring 0 arguments
: ... In instance t
v = a.num("badarg");
^~~
%Error: t/t_assoc_meth_bad.v:14: The 1 arguments passed to .size method does not match its requiring 0 arguments
: ... In instance t
%Error: t/t_assoc_meth_bad.v:14:13: The 1 arguments passed to .size method does not match its requiring 0 arguments
: ... In instance t
v = a.size("badarg");
^~~~
%Error: t/t_assoc_meth_bad.v:15: The 0 arguments passed to .exists method does not match its requiring 1 arguments
: ... In instance t
%Error: t/t_assoc_meth_bad.v:15:13: The 0 arguments passed to .exists method does not match its requiring 1 arguments
: ... In instance t
v = a.exists();
^~~~~~
%Error: t/t_assoc_meth_bad.v:16: The 2 arguments passed to .exists method does not match its requiring 1 arguments
: ... In instance t
%Error: t/t_assoc_meth_bad.v:16:13: The 2 arguments passed to .exists method does not match its requiring 1 arguments
: ... In instance t
v = a.exists(k, "bad2");
^~~~~~
%Error: t/t_assoc_meth_bad.v:17: The 0 arguments passed to .first method does not match its requiring 1 arguments
: ... In instance t
%Error: t/t_assoc_meth_bad.v:17:13: The 0 arguments passed to .first method does not match its requiring 1 arguments
: ... In instance t
v = a.first();
^~~~~
%Error: t/t_assoc_meth_bad.v:18: The 2 arguments passed to .next method does not match its requiring 1 arguments
: ... In instance t
%Error: t/t_assoc_meth_bad.v:18:13: The 2 arguments passed to .next method does not match its requiring 1 arguments
: ... In instance t
v = a.next(k, "bad2");
^~~~
%Error: t/t_assoc_meth_bad.v:19: The 0 arguments passed to .last method does not match its requiring 1 arguments
: ... In instance t
%Error: t/t_assoc_meth_bad.v:19:13: The 0 arguments passed to .last method does not match its requiring 1 arguments
: ... In instance t
v = a.last();
^~~~
%Error: t/t_assoc_meth_bad.v:20: The 2 arguments passed to .prev method does not match its requiring 1 arguments
: ... In instance t
%Error: t/t_assoc_meth_bad.v:20:13: The 2 arguments passed to .prev method does not match its requiring 1 arguments
: ... In instance t
v = a.prev(k, "bad2");
^~~~
%Error: t/t_assoc_meth_bad.v:21: The 2 arguments passed to .delete method does not match its requiring 0 to 1 arguments
: ... In instance t
%Error: t/t_assoc_meth_bad.v:21:9: The 2 arguments passed to .delete method does not match its requiring 0 to 1 arguments
: ... In instance t
a.delete(k, "bad2");
^~~~~~
%Error: Exiting due to

View File

@ -1,5 +1,5 @@
%Error: t/t_assoc_pattern_unsup.v:18: Unsupported: Assignment pattern applies against non struct/union data type: 'string[string]'
: ... In instance t
%Error: t/t_assoc_pattern_unsup.v:18:11: Unsupported: Assignment pattern applies against non struct/union data type: 'string[string]'
: ... In instance t
a = '{ "f": "fooed", "b": "bared", default: "defaulted" };
^~
%Error: Exiting due to

View File

@ -1,5 +1,5 @@
%Error: t/t_bitsel_const_bad.v:20: Illegal bit or array select; type does not have a bit range, or bad dimension: data type is 'logic'
: ... In instance t
%Error: t/t_bitsel_const_bad.v:20:16: Illegal bit or array select; type does not have a bit range, or bad dimension: data type is 'logic'
: ... In instance t
assign a = b[0];
^
%Error: Exiting due to

View File

@ -1,5 +1,5 @@
%Error: t/t_bitsel_wire_array_bad.v:20: Illegal assignment of constant to unpacked array
: ... In instance t
%Error: t/t_bitsel_wire_array_bad.v:20:16: Illegal assignment of constant to unpacked array
: ... In instance t
assign b = a[0];
^
%Error: Exiting due to

View File

@ -1,4 +1,4 @@
%Error: t/t_case_default_bad.v:15: Multiple default statements in case statement.
%Error: t/t_case_default_bad.v:15:9: Multiple default statements in case statement.
default: $stop;
^~~~~~~
%Error: Exiting due to

View File

@ -1,5 +1,5 @@
%Error: t/t_case_genx_bad.v:13: Use of x/? constant in generate case statement, (no such thing as 'generate casez')
: ... In instance t
%Error: t/t_case_genx_bad.v:13:9: Use of x/? constant in generate case statement, (no such thing as 'generate casez')
: ... In instance t
32'b1xxx: initial begin end
^~~~~~~~
%Error: Exiting due to

View File

@ -1,8 +1,8 @@
%Warning-CASEX: t/t_case_x_bad.v:13: Suggest casez (with ?'s) in place of casex (with X's)
%Warning-CASEX: t/t_case_x_bad.v:13:7: Suggest casez (with ?'s) in place of casex (with X's)
casex (value)
^~~~~
... Use "/* verilator lint_off CASEX */" and lint_on around source to disable this message.
%Warning-CASEWITHX: t/t_case_x_bad.v:18: Use of x/? constant in case statement, (perhaps intended casex/casez)
%Warning-CASEWITHX: t/t_case_x_bad.v:18:9: Use of x/? constant in case statement, (perhaps intended casex/casez)
4'b1xxx: $stop;
^~~~~~~
%Error: Exiting due to

View File

@ -1,4 +1,4 @@
%Warning-CASEWITHX: t/t_case_zx_bad.v:15: Use of x constant in casez statement, (perhaps intended ?/z in constant)
%Warning-CASEWITHX: t/t_case_zx_bad.v:15:9: Use of x constant in casez statement, (perhaps intended ?/z in constant)
4'b1xxx: $stop;
^~~~~~~
... Use "/* verilator lint_off CASEWITHX */" and lint_on around source to disable this message.

View File

@ -1,12 +1,12 @@
%Warning-CDCRSTLOGIC: t/t_cdc_async_bad.v:27: Logic in path that feeds async reset, via signal: 't.rst2_bad_n'
%Warning-CDCRSTLOGIC: t/t_cdc_async_bad.v:27:21: Logic in path that feeds async reset, via signal: 't.rst2_bad_n'
wire rst2_bad_n = rst0_n | rst1_n;
^
... Use "/* verilator lint_off CDCRSTLOGIC */" and lint_on around source to disable this message.
%Warning-CDCRSTLOGIC: See details in obj_vlt/t_cdc_async_bad/Vt_cdc_async_bad__cdc.txt
%Warning-CDCRSTLOGIC: t/t_cdc_async_bad.v:52: Logic in path that feeds async reset, via signal: 't.rst6a_bad_n'
%Warning-CDCRSTLOGIC: t/t_cdc_async_bad.v:52:21: Logic in path that feeds async reset, via signal: 't.rst6a_bad_n'
wire rst6a_bad_n = rst6_bad_n ^ $c1("0");
^
%Warning-CDCRSTLOGIC: t/t_cdc_async_bad.v:53: Logic in path that feeds async reset, via signal: 't.rst6b_bad_n'
%Warning-CDCRSTLOGIC: t/t_cdc_async_bad.v:53:21: Logic in path that feeds async reset, via signal: 't.rst6b_bad_n'
wire rst6b_bad_n = rst6_bad_n ^ $c1("1");
^
%Error: Exiting due to

View File

@ -1,28 +1,28 @@
%Error: t/t_class_unsup_bad.v:6: Unsupported: virtual interface
%Error: t/t_class_unsup_bad.v:6:1: Unsupported: virtual interface
virtual interface vi_t vi;
^~~~~~~
%Error: t/t_class_unsup_bad.v:7: Unsupported: virtual data type
%Error: t/t_class_unsup_bad.v:7:1: Unsupported: virtual data type
virtual vi_t vi2;
^~~~~~~
%Error: t/t_class_unsup_bad.v:12: Unsupported: classes
%Error: t/t_class_unsup_bad.v:12:1: Unsupported: classes
class C #(parameter P=1);
^~~~~
%Error: t/t_class_unsup_bad.v:13: Unsupported: class parameters
%Error: t/t_class_unsup_bad.v:13:26: Unsupported: class parameters
localparam LOCPAR = 10;
^
%Error: t/t_class_unsup_bad.v:24: Unsupported: virtual class member qualifier
%Error: t/t_class_unsup_bad.v:24:4: Unsupported: virtual class member qualifier
virtual function void func_virtual; endfunction
^~~~~~~
%Error: t/t_class_unsup_bad.v:25: Unsupported: pure virtual class method
%Error: t/t_class_unsup_bad.v:25:4: Unsupported: pure virtual class method
pure virtual function void func_pure_virtual; endfunction
^~~~
%Error: t/t_class_unsup_bad.v:25: syntax error, unexpected endfunction
%Error: t/t_class_unsup_bad.v:25:50: syntax error, unexpected endfunction
pure virtual function void func_pure_virtual; endfunction
^~~~~~~~~~~
%Error: t/t_class_unsup_bad.v:31: Unsupported: virtual classes
%Error: t/t_class_unsup_bad.v:31:1: Unsupported: virtual classes
virtual class VC;
^~~~~~~
%Error: t/t_class_unsup_bad.v:31: Unsupported: classes
%Error: t/t_class_unsup_bad.v:31:9: Unsupported: classes
virtual class VC;
^~~~~
%Error: Exiting due to

View File

@ -1,4 +1,4 @@
%Warning-DEPRECATED: t/t_clk_first_deprecated.v:11: sc_clock is deprecated and will be removed
%Warning-DEPRECATED: t/t_clk_first_deprecated.v:11:14: sc_clock is deprecated and will be removed
input clk /*verilator sc_clock*/ ;
^~~~~~~~~~~~~~~~~~~~~~
... Use "/* verilator lint_off DEPRECATED */" and lint_on around source to disable this message.

View File

@ -1,5 +1,5 @@
%Warning-CLKDATA: t/t_clk_scope_bad.v:35: Clock used as data (on rhs of assignment) in sequential block 'clk'
: ... In instance t.p2
%Warning-CLKDATA: t/t_clk_scope_bad.v:35:12: Clock used as data (on rhs of assignment) in sequential block 'clk'
: ... In instance t.p2
q <= d;
^
... Use "/* verilator lint_off CLKDATA */" and lint_on around source to disable this message.

View File

@ -1,5 +1,5 @@
%Warning-WIDTHCONCAT: t/t_concat_large_bad.v:8: More than a 8k bit replication is probably wrong: 32768
: ... In instance t
%Warning-WIDTHCONCAT: t/t_concat_large_bad.v:8:29: More than a 8k bit replication is probably wrong: 32768
: ... In instance t
wire [32767:0] a = {32768{1'b1}};
^
... Use "/* verilator lint_off WIDTHCONCAT */" and lint_on around source to disable this message.

View File

@ -1,13 +1,13 @@
%Error: t/t_concat_link_bad.v:24: Syntax Error: Not expecting REPLICATE under a DOT in dotted expression
%Error: t/t_concat_link_bad.v:24:20: Syntax Error: Not expecting REPLICATE under a DOT in dotted expression
assign bar_s = {foo_s, foo_s}.f1;
^
%Error: t/t_concat_link_bad.v:24: Syntax Error: Not expecting CONCAT under a REPLICATE in dotted expression
%Error: t/t_concat_link_bad.v:24:26: Syntax Error: Not expecting CONCAT under a REPLICATE in dotted expression
assign bar_s = {foo_s, foo_s}.f1;
^
%Error: t/t_concat_link_bad.v:24: Syntax Error: Not expecting CONST under a REPLICATE in dotted expression
%Error: t/t_concat_link_bad.v:24:20: Syntax Error: Not expecting CONST under a REPLICATE in dotted expression
assign bar_s = {foo_s, foo_s}.f1;
^
%Warning-IMPLICIT: t/t_concat_link_bad.v:24: Signal definition not found, creating implicitly: 'bar_s'
%Warning-IMPLICIT: t/t_concat_link_bad.v:24:12: Signal definition not found, creating implicitly: 'bar_s'
assign bar_s = {foo_s, foo_s}.f1;
^~~~~
... Use "/* verilator lint_off IMPLICIT */" and lint_on around source to disable this message.

View File

@ -1,14 +1,14 @@
%Warning-WIDTH: t/t_const_bad.v:12: Unsized constant being X/Z extended to 68 bits: ?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
: ... In instance t
%Warning-WIDTH: t/t_const_bad.v:12:39: Unsized constant being X/Z extended to 68 bits: ?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
: ... In instance t
if (68'hx_xxxxxxxx_xxxxxxxx !== 'dX) $stop;
^~~
... Use "/* verilator lint_off WIDTH */" and lint_on around source to disable this message.
%Warning-WIDTH: t/t_const_bad.v:13: Unsized constant being X/Z extended to 68 bits: ?32?bzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzz
: ... In instance t
%Warning-WIDTH: t/t_const_bad.v:13:39: Unsized constant being X/Z extended to 68 bits: ?32?bzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzz
: ... In instance t
if (68'hz_zzzzzzzz_zzzzzzzz !== 'dZ) $stop;
^~~
%Warning-WIDTH: t/t_const_bad.v:14: Unsized constant being X/Z extended to 68 bits: ?32?bzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzz
: ... In instance t
%Warning-WIDTH: t/t_const_bad.v:14:39: Unsized constant being X/Z extended to 68 bits: ?32?bzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzz
: ... In instance t
if (68'h?_????????_???????? !== 'd?) $stop;
^~~
%Error: Exiting due to

View File

@ -1,4 +1,4 @@
%Error: t/t_const_dec_mixed_bad.v:8: Mixing X/Z/? with digits not legal in decimal constant: x_1
%Error: t/t_const_dec_mixed_bad.v:8:30: Mixing X/Z/? with digits not legal in decimal constant: x_1
parameter [200:0] MIXED = 32'dx_1;
^~~~~~~
%Error: Exiting due to

View File

@ -1,16 +1,16 @@
%Error: t/t_const_overflow_bad.v:8: Too many digits for 94 bit number: 94'd123456789012345678901234567890
%Error: t/t_const_overflow_bad.v:8:34: Too many digits for 94 bit number: 94'd123456789012345678901234567890
parameter [200:0] TOO_SMALL = 94'd123456789012345678901234567890;
^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
%Error: t/t_const_overflow_bad.v:10: Too many digits for 8 bit number: 8'habc
%Error: t/t_const_overflow_bad.v:10:31: Too many digits for 8 bit number: 8'habc
parameter [200:0] SMALLH = 8'habc;
^~~~~~
%Error: t/t_const_overflow_bad.v:11: Too many digits for 6 bit number: 6'o1234
%Error: t/t_const_overflow_bad.v:11:31: Too many digits for 6 bit number: 6'o1234
parameter [200:0] SMALLO = 6'o1234;
^~~~~~~
%Error: t/t_const_overflow_bad.v:12: Too many digits for 3 bit number: 3'b1111
%Error: t/t_const_overflow_bad.v:12:31: Too many digits for 3 bit number: 3'b1111
parameter [200:0] SMALLB = 3'b1111;
^~~~~~~
%Error: t/t_const_overflow_bad.v:18: Too many digits for 129 bit number: 129'hdeadbeefc001f00ddeadbeefc001f00ddeadbeefc001f00ddeadbeefc001f00d
%Error: t/t_const_overflow_bad.v:18:35: Too many digits for 129 bit number: 129'hdeadbeefc001f00ddeadbeefc001f00ddeadbeefc001f00ddeadbeefc001f00d
parameter [128:0] ALSO_SMALL = 129'hdeadbeefc001f00ddeadbeefc001f00ddeadbeefc001f00ddeadbeefc001f00d;
^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
%Error: Exiting due to

View File

@ -1,14 +1,14 @@
%Warning-ASSIGNDLY: t/t_delay.v:19: Unsupported: Ignoring delay on this assignment/primitive.
%Warning-ASSIGNDLY: t/t_delay.v:19:11: Unsupported: Ignoring delay on this assignment/primitive.
assign #(1.2000000000000000) dly1 = dly0 + 32'h1;
^
... Use "/* verilator lint_off ASSIGNDLY */" and lint_on around source to disable this message.
%Warning-ASSIGNDLY: t/t_delay.v:24: Unsupported: Ignoring delay on this assignment/primitive.
%Warning-ASSIGNDLY: t/t_delay.v:24:18: Unsupported: Ignoring delay on this assignment/primitive.
dly0 <= #0 32'h11;
^
%Warning-ASSIGNDLY: t/t_delay.v:27: Unsupported: Ignoring delay on this assignment/primitive.
%Warning-ASSIGNDLY: t/t_delay.v:27:18: Unsupported: Ignoring delay on this assignment/primitive.
dly0 <= #0.12 dly0 + 32'h12;
^
%Warning-STMTDLY: t/t_delay.v:33: Unsupported: Ignoring delay on this delayed statement.
%Warning-STMTDLY: t/t_delay.v:33:10: Unsupported: Ignoring delay on this delayed statement.
#100 $finish;
^
%Error: Exiting due to

View File

@ -1,7 +1,7 @@
%Error: t/t_display_bad.v:10: Missing arguments for $display-like format
%Error: t/t_display_bad.v:10:7: Missing arguments for $display-like format
$display("%x");
^~~~~~~~
%Error: t/t_display_bad.v:12: Unknown $display-like format code: '%q'
%Error: t/t_display_bad.v:12:7: Unknown $display-like format code: '%q'
$display("%q");
^~~~~~~~
%Error: Exiting due to

View File

@ -1,4 +1,4 @@
%Error: t/t_display_esc_bad.v:8: Unknown escape sequence: \x
%Error: t/t_display_esc_bad.v:8:16: Unknown escape sequence: \x
$display("\x\y\z");
^~~~~~~~
%Error: Exiting due to

View File

@ -1,4 +1,4 @@
%Error: t/t_dpi_2exp_bad.v:11: Function was already DPI Exported, duplicate not allowed: 'dpix_twice'
%Error: t/t_dpi_2exp_bad.v:11:45: Function was already DPI Exported, duplicate not allowed: 'dpix_twice'
export "DPI-C" dpix_t_int_renamed = task dpix_twice;
^~~~~~~~~~
%Error: Exiting due to

View File

@ -1,8 +1,8 @@
%Error: t/t_dpi_dup_bad.v:12: Duplicate declaration of DPI function with different formal arguments: 't.oth_f_int2'
%Error: t/t_dpi_dup_bad.v:12:51: Duplicate declaration of DPI function with different formal arguments: 't.oth_f_int2'
import "DPI-C" pure dpii_fa_bit = function int oth_f_int2(input int i, input int bad);
^~~~~~~~~~
: ... New prototype: pure int dpii_fa_bit (int, int)
t/t_dpi_dup_bad.v:11: ... Original prototype: int dpii_fa_bit (int)
: ... New prototype: pure int dpii_fa_bit (int, int)
t/t_dpi_dup_bad.v:11:47: ... Original prototype: int dpii_fa_bit (int)
import "DPI-C" dpii_fa_bit = function int oth_f_int1(input int i);
^~~~~~~~~~
%Error: Exiting due to

View File

@ -1,4 +1,4 @@
%Error: t/t_dpi_exp_bad.v:11: DPI functions cannot return > 32 bits or four-state; use a two-state type or task instead: 'dpix_f_bit48__Vfuncrtn'
%Error: t/t_dpi_exp_bad.v:11:24: DPI functions cannot return > 32 bits or four-state; use a two-state type or task instead: 'dpix_f_bit48__Vfuncrtn'
function bit [47:0] dpix_f_bit48(bit [47:0] i); dpix_f_bit48 = ~i; endfunction
^~~~~~~~~~~~
%Error: Exiting due to

View File

@ -1,4 +1,4 @@
%Error: t/t_dpi_logic_bad.v:11: DPI function may not return type BASICDTYPE 'logic' (IEEE 1800-2017 35.5.5)
%Error: t/t_dpi_logic_bad.v:11:54: DPI function may not return type BASICDTYPE 'logic' (IEEE 1800-2017 35.5.5)
import "DPI-C" dpii_fa_bit = function logic [2:0] oth_f_int1(input time i);
^~~~~~~~~~
%Error: Exiting due to

View File

@ -1,4 +1,4 @@
%Error: t/t_dpi_name_bad.v:11: DPI function has illegal characters in C identifier name: 'badly.named'
%Error: t/t_dpi_name_bad.v:11:32: DPI function has illegal characters in C identifier name: 'badly.named'
import "DPI-C" function int \badly.named (int i);
^~~~~~~~~~~~
%Error: Exiting due to

View File

@ -1,5 +1,5 @@
%Warning-WIDTH: t/t_dynarray_bad.v:14: Operator NEWDYNAMIC expects 32 bits on the new() size, but new() size's VARREF 's' generates 64 bits.
: ... In instance t
%Warning-WIDTH: t/t_dynarray_bad.v:14:11: Operator NEWDYNAMIC expects 32 bits on the new() size, but new() size's VARREF 's' generates 64 bits.
: ... In instance t
a = new [s];
^~~
... Use "/* verilator lint_off WIDTH */" and lint_on around source to disable this message.

View File

@ -1,7 +1,7 @@
%Warning-VARHIDDEN: t/t_enum_bad_hide.v:10: Declaration of enum value hides declaration in upper scope: HIDE_VALUE
%Warning-VARHIDDEN: t/t_enum_bad_hide.v:10:19: Declaration of enum value hides declaration in upper scope: HIDE_VALUE
typedef enum { HIDE_VALUE = 0 } hide_enum_t;
^~~~~~~~~~
t/t_enum_bad_hide.v:6: ... Location of original declaration
t/t_enum_bad_hide.v:6:16: ... Location of original declaration
typedef enum { HIDE_VALUE = 0 } hide_enum_t;
^~~~~~~~~~
... Use "/* verilator lint_off VARHIDDEN */" and lint_on around source to disable this message.

View File

@ -1,8 +1,8 @@
%Error: t/t_enum_overlap_bad.v:11: Overlapping enumeration value: 'e1b'
: ... In instance t
%Error: t/t_enum_overlap_bad.v:11:11: Overlapping enumeration value: 'e1b'
: ... In instance t
e1b=1
^~~
t/t_enum_overlap_bad.v:9: ... Location of original declaration
t/t_enum_overlap_bad.v:9:11: ... Location of original declaration
e1,
^~
%Error: Exiting due to

View File

@ -1,10 +1,10 @@
%Error: t/t_enum_recurse_bad.v:6: Recursive enum value: 'u'
%Error: t/t_enum_recurse_bad.v:6:9: Recursive enum value: 'u'
enum {u=u} e_t;
^
%Error: t/t_enum_recurse_bad.v:6: Expecting expression to be constant, but variable isn't const: 'u'
%Error: t/t_enum_recurse_bad.v:6:9: Expecting expression to be constant, but variable isn't const: 'u'
enum {u=u} e_t;
^
%Error: t/t_enum_recurse_bad.v:6: Enum value isn't a constant
%Error: t/t_enum_recurse_bad.v:6:9: Enum value isn't a constant
enum {u=u} e_t;
^
%Error: Exiting due to

View File

@ -1,5 +1,5 @@
%Error: Internal Error: t/t_enum_type_methods_bad.v:23: ../V3Width.cpp:#: Unsupported: enum next/prev with non-const argument
: ... In instance t
%Error: Internal Error: t/t_enum_type_methods_bad.v:23:14: ../V3Width.cpp:#: Unsupported: enum next/prev with non-const argument
: ... In instance t
e.next(increment);
^~~~~~~~~
... See the manual and https://verilator.org for more assistance.

View File

@ -1,9 +1,9 @@
%Error: t/t_enum_x_bad.v:8: Enum value with X/Zs cannot be assigned to non-fourstate type (IEEE 1800-2017 6.19)
: ... In instance t
%Error: t/t_enum_x_bad.v:8:21: Enum value with X/Zs cannot be assigned to non-fourstate type (IEEE 1800-2017 6.19)
: ... In instance t
enum bit [1:0] { BADX = 2'b1x } BAD1;
^~~~
%Error: t/t_enum_x_bad.v:11: Enum value that is unassigned cannot follow value with X/Zs (IEEE 1800-2017 6.19)
: ... In instance t
%Error: t/t_enum_x_bad.v:11:23: Enum value that is unassigned cannot follow value with X/Zs (IEEE 1800-2017 6.19)
: ... In instance t
e1
^~
%Error: Exiting due to

View File

@ -1,6 +1,6 @@
%Warning-WIDTH: t/t_flag_context_bad.v:8: Operator ASSIGNW expects 3 bits on the Assign RHS, but Assign RHS's CONST '5'h1f' generates 5 bits.
: ... In instance t
%Warning-WIDTH: t/t_flag_context_bad.v:8:19: Operator ASSIGNW expects 3 bits on the Assign RHS, but Assign RHS's CONST '5'h1f' generates 5 bits.
: ... In instance t
... Use "/* verilator lint_off WIDTH */" and lint_on around source to disable this message.
%Warning-UNUSED: t/t_flag_context_bad.v:8: Signal is not used: 'foo'
: ... In instance t
%Warning-UNUSED: t/t_flag_context_bad.v:8:15: Signal is not used: 'foo'
: ... In instance t
%Error: Exiting due to

View File

@ -1,13 +1,13 @@
%Error: t/t_flag_errorlimit_bad.v:9: Duplicate declaration of signal: 'u1'
%Error: t/t_flag_errorlimit_bad.v:9:8: Duplicate declaration of signal: 'u1'
int u1;
^~
t/t_flag_errorlimit_bad.v:8: ... Location of original declaration
t/t_flag_errorlimit_bad.v:8:8: ... Location of original declaration
int u1;
^~
%Error: t/t_flag_errorlimit_bad.v:10: Duplicate declaration of signal: 'u1'
%Error: t/t_flag_errorlimit_bad.v:10:8: Duplicate declaration of signal: 'u1'
int u1;
^~
t/t_flag_errorlimit_bad.v:8: ... Location of original declaration
t/t_flag_errorlimit_bad.v:8:8: ... Location of original declaration
int u1;
^~
%Error: Exiting due to

View File

@ -1,13 +1,13 @@
%Warning-MULTITOP: t/t_flag_topmodule.v:14: Multiple top level modules
: ... Suggest see manual; fix the duplicates, or use --top-module to select top.
%Warning-MULTITOP: t/t_flag_topmodule.v:14:8: Multiple top level modules
: ... Suggest see manual; fix the duplicates, or use --top-module to select top.
... Use "/* verilator lint_off MULTITOP */" and lint_on around source to disable this message.
: ... Top module 'a'
: ... Top module 'a'
module a;
^
: ... Top module 'a2'
: ... Top module 'a2'
module a2;
^~
: ... Top module 'b'
: ... Top module 'b'
module b;
^
%Error: Exiting due to

View File

@ -1,5 +1,5 @@
%Warning-WIDTH: t/t_flag_werror.v:9: Operator ASSIGNW expects 4 bits on the Assign RHS, but Assign RHS's CONST '6'h2e' generates 6 bits.
: ... In instance t
%Warning-WIDTH: t/t_flag_werror.v:9:19: Operator ASSIGNW expects 4 bits on the Assign RHS, but Assign RHS's CONST '6'h2e' generates 6 bits.
: ... In instance t
wire [3:0] foo = 6'h2e;
^
... Use "/* verilator lint_off WIDTH */" and lint_on around source to disable this message.

View File

@ -1,5 +1,5 @@
%Error-WIDTH: t/t_flag_werror.v:9: Operator ASSIGNW expects 4 bits on the Assign RHS, but Assign RHS's CONST '6'h2e' generates 6 bits.
: ... In instance t
%Error-WIDTH: t/t_flag_werror.v:9:19: Operator ASSIGNW expects 4 bits on the Assign RHS, but Assign RHS's CONST '6'h2e' generates 6 bits.
: ... In instance t
wire [3:0] foo = 6'h2e;
^
%Error: Exiting due to

View File

@ -1,5 +1,5 @@
%Warning-WIDTH: t/t_flag_wfatal.v:9: Operator ASSIGNW expects 4 bits on the Assign RHS, but Assign RHS's CONST '6'h2e' generates 6 bits.
: ... In instance t
%Warning-WIDTH: t/t_flag_wfatal.v:9:19: Operator ASSIGNW expects 4 bits on the Assign RHS, but Assign RHS's CONST '6'h2e' generates 6 bits.
: ... In instance t
wire [3:0] foo = 6'h2e;
^
... Use "/* verilator lint_off WIDTH */" and lint_on around source to disable this message.

View File

@ -1,4 +1,4 @@
%Error: t/t_flag_wpedantic_bad.v:7: syntax error, unexpected global, expecting IDENTIFIER or '=' or do or final
%Error: t/t_flag_wpedantic_bad.v:7:14: syntax error, unexpected global, expecting IDENTIFIER or '=' or do or final
reg global;
^
%Error: Exiting due to

View File

@ -1,28 +1,28 @@
%Error: t/t_for_comma_bad.v:13: Unsupported: for loop step after the first comma
%Error: t/t_for_comma_bad.v:13:16: Unsupported: for loop step after the first comma
for (; ; a=a+1, b=b+1) ;
^
%Error: t/t_for_comma_bad.v:16: Unsupported: for loop step after the first comma
%Error: t/t_for_comma_bad.v:16:19: Unsupported: for loop step after the first comma
for (; a<1; a=a+1, b=b+1) ;
^
%Error: t/t_for_comma_bad.v:19: Unsupported: for loop step after the first comma
%Error: t/t_for_comma_bad.v:19:22: Unsupported: for loop step after the first comma
for (a=0; a<1; a=a+1, b=b+1) ;
^
%Error: t/t_for_comma_bad.v:22: Unsupported: for loop step after the first comma
%Error: t/t_for_comma_bad.v:22:30: Unsupported: for loop step after the first comma
for (integer a=0; a<1; a=a+1, b=b+1) ;
^
%Error: t/t_for_comma_bad.v:25: Unsupported: for loop step after the first comma
%Error: t/t_for_comma_bad.v:25:34: Unsupported: for loop step after the first comma
for (var integer a=0; a<1; a=a+1, b=b+1) ;
^
%Error: t/t_for_comma_bad.v:26: Unsupported: for loop initialization after the first comma
%Error: t/t_for_comma_bad.v:26:23: Unsupported: for loop initialization after the first comma
for (integer a=0, integer b=0; a<1; ) ;
^
%Error: t/t_for_comma_bad.v:27: Unsupported: for loop initialization after the first comma
%Error: t/t_for_comma_bad.v:27:23: Unsupported: for loop initialization after the first comma
for (integer a=0, integer b=0; a<1; a=a+1) ;
^
%Error: t/t_for_comma_bad.v:28: Unsupported: for loop initialization after the first comma
%Error: t/t_for_comma_bad.v:28:23: Unsupported: for loop initialization after the first comma
for (integer a=0, integer b=0; a<1; a=a+1, b=b+1) ;
^
%Error: t/t_for_comma_bad.v:28: Unsupported: for loop step after the first comma
%Error: t/t_for_comma_bad.v:28:43: Unsupported: for loop step after the first comma
for (integer a=0, integer b=0; a<1; a=a+1, b=b+1) ;
^
%Error: Exiting due to

View File

@ -1,29 +1,29 @@
%Error: t/t_func_bad.v:8: Missing argument on non-defaulted argument 'from2' in function call to FUNC 'add'
: ... In instance t
%Error: t/t_func_bad.v:8:11: Missing argument on non-defaulted argument 'from2' in function call to FUNC 'add'
: ... In instance t
if (add(3'd1) != 0) $stop;
^~~
%Error: t/t_func_bad.v:9: Too many arguments in function call to FUNC 'add'
: ... In instance t
%Error: t/t_func_bad.v:9:27: Too many arguments in function call to FUNC 'add'
: ... In instance t
if (add(3'd1, 3'd2, 3'd3) != 0) $stop;
^~~~
%Error: t/t_func_bad.v:10: Missing argument on non-defaulted argument 'y' in function call to TASK 'x'
: ... In instance t
%Error: t/t_func_bad.v:10:7: Missing argument on non-defaulted argument 'y' in function call to TASK 'x'
: ... In instance t
x;
^
%Error: t/t_func_bad.v:10: Unsupported: Function output argument 'y' requires 1 bits, but connection's CONST '?32?h0' generates 32 bits.
: ... In instance t
%Error: t/t_func_bad.v:10:7: Unsupported: Function output argument 'y' requires 1 bits, but connection's CONST '?32?h0' generates 32 bits.
: ... In instance t
x;
^
%Error: t/t_func_bad.v:13: No such argument 'no_such' in function call to FUNC 'f'
: ... In instance t
%Error: t/t_func_bad.v:13:17: No such argument 'no_such' in function call to FUNC 'f'
: ... In instance t
f(.j(1), .no_such(2));
^~~~~~~
%Error: t/t_func_bad.v:14: Duplicate argument 'dup' in function call to FUNC 'f'
: ... In instance t
%Error: t/t_func_bad.v:14:19: Duplicate argument 'dup' in function call to FUNC 'f'
: ... In instance t
f(.dup(1), .dup(3));
^~~
%Error: t/t_func_bad.v:15: Too many arguments in function call to FUNC 'f'
: ... In instance t
%Error: t/t_func_bad.v:15:13: Too many arguments in function call to FUNC 'f'
: ... In instance t
f(1,2,3);
^
%Error: Exiting due to

View File

@ -1,5 +1,5 @@
%Error: t/t_func_bad2.v:7: Unsupported: Recursive function or task call
: ... In instance t
%Error: t/t_func_bad2.v:7:13: Unsupported: Recursive function or task call
: ... In instance t
function recurse;
^~~~~~~
%Error: Exiting due to

View File

@ -1,10 +1,10 @@
%Warning-WIDTH: t/t_func_bad_width.v:12: Operator FUNCREF 'MUX' expects 40 bits on the Function Argument, but Function Argument's VARREF 'in' generates 39 bits.
: ... In instance t
%Warning-WIDTH: t/t_func_bad_width.v:12:13: Operator FUNCREF 'MUX' expects 40 bits on the Function Argument, but Function Argument's VARREF 'in' generates 39 bits.
: ... In instance t
out = MUX (in);
^~~
... Use "/* verilator lint_off WIDTH */" and lint_on around source to disable this message.
%Warning-WIDTH: t/t_func_bad_width.v:12: Operator ASSIGN expects 4 bits on the Assign RHS, but Assign RHS's FUNCREF 'MUX' generates 32 bits.
: ... In instance t
%Warning-WIDTH: t/t_func_bad_width.v:12:11: Operator ASSIGN expects 4 bits on the Assign RHS, but Assign RHS's FUNCREF 'MUX' generates 32 bits.
: ... In instance t
out = MUX (in);
^
%Error: Exiting due to

View File

@ -1,12 +1,12 @@
%Warning-USERFATAL: "f_add = 15"
... Use "/* verilator lint_off USERFATAL */" and lint_on around source to disable this message.
%Error: t/t_func_const2_bad.v:21: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_add2'
: ... In instance t.b8_a7.c9
t/t_func_const2_bad.v:9: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
t/t_func_const2_bad.v:14: ... Called from f_add() with parameters:
%Error: t/t_func_const2_bad.v:21:23: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_add2'
: ... In instance t.b8_a7.c9
t/t_func_const2_bad.v:9:6: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
t/t_func_const2_bad.v:14:13: ... Called from f_add() with parameters:
a = 32'h7
b = 32'h8
t/t_func_const2_bad.v:21: ... Called from f_add2() with parameters:
t/t_func_const2_bad.v:21:23: ... Called from f_add2() with parameters:
a = ?32?h7
b = ?32?h8
c = ?32?h9

View File

@ -1,5 +1,5 @@
%Warning-WIDTHCONCAT: t/t_func_const3_bad.v:11: More than a 8k bit replication is probably wrong: 9000
: ... In instance t.b9k.c9
%Warning-WIDTHCONCAT: t/t_func_const3_bad.v:11:28: More than a 8k bit replication is probably wrong: 9000
: ... In instance t.b9k.c9
localparam SOMEP = {BITS{1'b0}};
^
... Use "/* verilator lint_off WIDTHCONCAT */" and lint_on around source to disable this message.

View File

@ -1,33 +1,33 @@
%Error: t/t_func_const_bad.v:11: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_bad_output'
: ... In instance t
t/t_func_const_bad.v:12: ... Location of non-constant VAR 'o': Language violation: Outputs/refs not allowed in constant functions
%Error: t/t_func_const_bad.v:11:20: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_bad_output'
: ... In instance t
t/t_func_const_bad.v:12:64: ... Location of non-constant VAR 'o': Language violation: Outputs/refs not allowed in constant functions
localparam B1 = f_bad_output(1,2);
^~~~~~~~~~~~
%Error: t/t_func_const_bad.v:20: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_bad_dotted'
: ... In instance t
t/t_func_const_bad.v:22: ... Location of non-constant VARXREF 'EIGHT': Language violation: Dotted hierarchical references not allowed in constant functions
t/t_func_const_bad.v:20: ... Called from f_bad_dotted() with parameters:
%Error: t/t_func_const_bad.v:20:20: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_bad_dotted'
: ... In instance t
t/t_func_const_bad.v:22:24: ... Location of non-constant VARXREF 'EIGHT': Language violation: Dotted hierarchical references not allowed in constant functions
t/t_func_const_bad.v:20:20: ... Called from f_bad_dotted() with parameters:
a = ?32?h2
localparam B2 = f_bad_dotted(2);
^~~~~~~~~~~~
%Error: t/t_func_const_bad.v:27: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_bad_nonparam'
: ... In instance t
t/t_func_const_bad.v:29: ... Location of non-constant VARREF 'modvar': Language violation: reference to non-function-local variable
t/t_func_const_bad.v:27: ... Called from f_bad_nonparam() with parameters:
%Error: t/t_func_const_bad.v:27:20: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_bad_nonparam'
: ... In instance t
t/t_func_const_bad.v:29:24: ... Location of non-constant VARREF 'modvar': Language violation: reference to non-function-local variable
t/t_func_const_bad.v:27:20: ... Called from f_bad_nonparam() with parameters:
a = ?32?h3
localparam B3 = f_bad_nonparam(3);
^~~~~~~~~~~~~~
%Error: t/t_func_const_bad.v:35: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_bad_infinite'
: ... In instance t
t/t_func_const_bad.v:37: ... Location of non-constant WHILE: Loop unrolling took too long; probably this is an infinite loop, or set --unroll-count above 1024
t/t_func_const_bad.v:35: ... Called from f_bad_infinite() with parameters:
%Error: t/t_func_const_bad.v:35:20: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_bad_infinite'
: ... In instance t
t/t_func_const_bad.v:37:7: ... Location of non-constant WHILE: Loop unrolling took too long; probably this is an infinite loop, or set --unroll-count above 1024
t/t_func_const_bad.v:35:20: ... Called from f_bad_infinite() with parameters:
a = ?32?h3
localparam B4 = f_bad_infinite(3);
^~~~~~~~~~~~~~
%Error: t/t_func_const_bad.v:43: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_bad_stop'
: ... In instance t
t/t_func_const_bad.v:45: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
t/t_func_const_bad.v:43: ... Called from f_bad_stop() with parameters:
%Error: t/t_func_const_bad.v:43:23: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_bad_stop'
: ... In instance t
t/t_func_const_bad.v:45:7: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
t/t_func_const_bad.v:43:23: ... Called from f_bad_stop() with parameters:
a = ?32?h3
localparam BSTOP = f_bad_stop(3);
^~~~~~~~~~
@ -36,10 +36,10 @@
-Info: "Printing in loop: 2"
%Warning-USERFATAL: "Fatal Error"
... Use "/* verilator lint_off USERFATAL */" and lint_on around source to disable this message.
%Error: t/t_func_const_bad.v:49: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_bad_fatal'
: ... In instance t
t/t_func_const_bad.v:54: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
t/t_func_const_bad.v:49: ... Called from f_bad_fatal() with parameters:
%Error: t/t_func_const_bad.v:49:24: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_bad_fatal'
: ... In instance t
t/t_func_const_bad.v:54:7: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
t/t_func_const_bad.v:49:24: ... Called from f_bad_fatal() with parameters:
a = ?32?h3
localparam BFATAL = f_bad_fatal(3);
^~~~~~~~~~~

View File

@ -1,11 +1,11 @@
%Warning-USERFATAL: "f_add = 15"
... Use "/* verilator lint_off USERFATAL */" and lint_on around source to disable this message.
%Error: t/t_func_const_packed_array_bad.v:11: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_add2'
: ... In instance t
t/t_func_const_packed_array_bad.v:22: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
t/t_func_const_packed_array_bad.v:30: ... Called from f_add() with parameters:
%Error: t/t_func_const_packed_array_bad.v:11:21: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_add2'
: ... In instance t
t/t_func_const_packed_array_bad.v:22:9: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
t/t_func_const_packed_array_bad.v:30:16: ... Called from f_add() with parameters:
params = [0 = 32'h7, 1 = 32'h8]
t/t_func_const_packed_array_bad.v:11: ... Called from f_add2() with parameters:
t/t_func_const_packed_array_bad.v:11:21: ... Called from f_add2() with parameters:
a = ?32?h7
b = ?32?h8
c = ?32?h9

View File

@ -1,11 +1,11 @@
%Warning-USERFATAL: "f_add = 15"
... Use "/* verilator lint_off USERFATAL */" and lint_on around source to disable this message.
%Error: t/t_func_const_packed_struct_bad.v:13: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_add2'
: ... In instance t
t/t_func_const_packed_struct_bad.v:24: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
t/t_func_const_packed_struct_bad.v:32: ... Called from f_add() with parameters:
%Error: t/t_func_const_packed_struct_bad.v:13:21: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_add2'
: ... In instance t
t/t_func_const_packed_struct_bad.v:24:9: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
t/t_func_const_packed_struct_bad.v:32:16: ... Called from f_add() with parameters:
params = [0 = '{a: 32'h7, b: 32'h22b}, 1 = '{a: 32'h3039, b: 32'h8}]
t/t_func_const_packed_struct_bad.v:13: ... Called from f_add2() with parameters:
t/t_func_const_packed_struct_bad.v:13:21: ... Called from f_add2() with parameters:
a = ?32?h7
b = ?32?h8
c = ?32?h9

View File

@ -1,11 +1,11 @@
%Warning-USERFATAL: "f_add = 15"
... Use "/* verilator lint_off USERFATAL */" and lint_on around source to disable this message.
%Error: t/t_func_const_packed_struct_bad2.v:19: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_add2'
: ... In instance t
t/t_func_const_packed_struct_bad2.v:30: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
t/t_func_const_packed_struct_bad2.v:42: ... Called from f_add() with parameters:
%Error: t/t_func_const_packed_struct_bad2.v:19:21: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_add2'
: ... In instance t
t/t_func_const_packed_struct_bad2.v:30:9: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
t/t_func_const_packed_struct_bad2.v:42:16: ... Called from f_add() with parameters:
params = [0 = '{a: 32'h7, foo: 6'hb, sub_params: '{b: 32'h37, bar: 8'h6f}}, 1 = '{a: 32'h3039, foo: 6'hc, sub_params: '{b: 32'h8, bar: 8'h70}}]
t/t_func_const_packed_struct_bad2.v:19: ... Called from f_add2() with parameters:
t/t_func_const_packed_struct_bad2.v:19:21: ... Called from f_add2() with parameters:
a = ?32?h7
b = ?32?h8
c = ?32?h9

View File

@ -1,11 +1,11 @@
%Warning-USERFATAL: "f_add = 15"
... Use "/* verilator lint_off USERFATAL */" and lint_on around source to disable this message.
%Error: t/t_func_const_struct_bad.v:16: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_add2'
: ... In instance t
t/t_func_const_struct_bad.v:27: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
t/t_func_const_struct_bad.v:37: ... Called from f_add() with parameters:
%Error: t/t_func_const_struct_bad.v:16:21: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_add2'
: ... In instance t
t/t_func_const_struct_bad.v:27:9: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
t/t_func_const_struct_bad.v:37:16: ... Called from f_add() with parameters:
params = '{a: 32'h7, b: 32'h8}
t/t_func_const_struct_bad.v:16: ... Called from f_add2() with parameters:
t/t_func_const_struct_bad.v:16:21: ... Called from f_add2() with parameters:
a = ?32?h7
b = ?32?h8
c = ?32?h9

View File

@ -1,4 +1,4 @@
%Error: t/t_func_task_bad.v:9: Illegal call of a task as a function: 'task_as_func'
%Error: t/t_func_task_bad.v:9:11: Illegal call of a task as a function: 'task_as_func'
if (task_as_func(1'b0)) $stop;
^~~~~~~~~~~~
%Error: Exiting due to

View File

@ -1,4 +1,4 @@
%Error: t/t_func_tie_bad.v:10: Function/task output connected to constant instead of variable: 'b'
%Error: t/t_func_tie_bad.v:10:15: Function/task output connected to constant instead of variable: 'b'
func(0, 1'b1);
^~~~
%Error: Exiting due to

View File

@ -1,4 +1,4 @@
%Warning-IGNOREDRETURN: t/t_func_void_bad.v:25: Ignoring return value of non-void function (IEEE 1800-2017 13.4.1)
%Warning-IGNOREDRETURN: t/t_func_void_bad.v:25:7: Ignoring return value of non-void function (IEEE 1800-2017 13.4.1)
f1(20);
^~
... Use "/* verilator lint_off IGNOREDRETURN */" and lint_on around source to disable this message.

View File

@ -1,5 +1,5 @@
%Error: t/t_func_wide_out_bad.v:16: Unsupported: Function output argument 'data' requires 4352 bits, but connection's VARREF 'msg' generates 4350 bits.
: ... In instance t
%Error: t/t_func_wide_out_bad.v:16:12: Unsupported: Function output argument 'data' requires 4352 bits, but connection's VARREF 'msg' generates 4350 bits.
: ... In instance t
func(msg);
^~~
%Error: Exiting due to

View File

@ -1,10 +1,10 @@
%Error: t/t_fuzz_always_bad.v:9: Can't find definition of 'a' in dotted variable: 'c.a'
%Error: t/t_fuzz_always_bad.v:9:15: Can't find definition of 'a' in dotted variable: 'c.a'
always @ c.a c:h;
^
%Error: t/t_fuzz_always_bad.v:9: Can't find definition of task/function: 'h'
%Error: t/t_fuzz_always_bad.v:9:19: Can't find definition of task/function: 'h'
always @ c.a c:h;
^
%Error: t/t_fuzz_always_bad.v:9: Unsupported: Complex statement in sensitivity list
%Error: t/t_fuzz_always_bad.v:9:14: Unsupported: Complex statement in sensitivity list
always @ c.a c:h;
^
%Error: Exiting due to

View File

@ -1,5 +1,5 @@
%Error: t/t_fuzz_eqne_bad.v:11: Slice operator VARREF 't.b' on non-slicable (e.g. non-vector) right-hand-side operand
: ... In instance t.b
%Error: t/t_fuzz_eqne_bad.v:11:23: Slice operator VARREF 't.b' on non-slicable (e.g. non-vector) right-hand-side operand
: ... In instance t.b
initial c = (a != &b);
^
%Error: Exiting due to

View File

@ -1,9 +1,9 @@
%Error: t/t_fuzz_genintf_bad.v:23: Unsupported: Member call on object 'VARREF 'j'' which is a 'BASICDTYPE 'integer''
: ... In instance t
%Error: t/t_fuzz_genintf_bad.v:23:12: Unsupported: Member call on object 'VARREF 'j'' which is a 'BASICDTYPE 'integer''
: ... In instance t
j.e(0),
^
%Error: Internal Error: t/t_fuzz_genintf_bad.v:23: ../V3Width.cpp:#: Unlinked pin data type
: ... In instance t
%Error: Internal Error: t/t_fuzz_genintf_bad.v:23:11: ../V3Width.cpp:#: Unlinked pin data type
: ... In instance t
j.e(0),
^
... See the manual and https://verilator.org for more assistance.

View File

@ -1,4 +1,4 @@
%Error: t/t_fuzz_negwidth_bad.v:8: Unsupported: Width of number exceeds implementation limit: 1231232312312312'd1 (IEEE 1800-2017 6.9.1)
%Error: t/t_fuzz_negwidth_bad.v:8:9: Unsupported: Width of number exceeds implementation limit: 1231232312312312'd1 (IEEE 1800-2017 6.9.1)
int c = 1231232312312312'd1;
^~~~~~~~~~~~~~~~~~~
%Error: Exiting due to

View File

@ -1,9 +1,9 @@
%Error: t/t_fuzz_triand_bad.v:7: Unsupported: Member call on object 'VARREF 'g'' which is a 'BASICDTYPE 'logic''
: ... In instance t
%Error: t/t_fuzz_triand_bad.v:7:12: Unsupported: Member call on object 'VARREF 'g'' which is a 'BASICDTYPE 'logic''
: ... In instance t
tri g=g.and.g;
^~~
%Error: Internal Error: t/t_fuzz_triand_bad.v:7: ../V3Width.cpp:#: Unlinked data type
: ... In instance t
%Error: Internal Error: t/t_fuzz_triand_bad.v:7:12: ../V3Width.cpp:#: Unlinked data type
: ... In instance t
tri g=g.and.g;
^~~
... See the manual and https://verilator.org for more assistance.

View File

@ -1,18 +1,18 @@
%Warning-SELRANGE: t/t_gen_cond_bitrange_bad.v:58: Selection index out of range: 2:2 outside 1:0
: ... In instance t.i_test_gen
%Warning-SELRANGE: t/t_gen_cond_bitrange_bad.v:58:38: Selection index out of range: 2:2 outside 1:0
: ... In instance t.i_test_gen
if ((g < (SIZE + 1)) && MASK[g]) begin
^
... Use "/* verilator lint_off SELRANGE */" and lint_on around source to disable this message.
%Warning-SELRANGE: t/t_gen_cond_bitrange_bad.v:70: Selection index out of range: 2:2 outside 1:0
: ... In instance t.i_test_gen
%Warning-SELRANGE: t/t_gen_cond_bitrange_bad.v:70:32: Selection index out of range: 2:2 outside 1:0
: ... In instance t.i_test_gen
if ((g < SIZE) && MASK[g + 1]) begin
^
%Warning-SELRANGE: t/t_gen_cond_bitrange_bad.v:83: Selection index out of range: 2:2 outside 1:0
: ... In instance t.i_test_gen
%Warning-SELRANGE: t/t_gen_cond_bitrange_bad.v:83:33: Selection index out of range: 2:2 outside 1:0
: ... In instance t.i_test_gen
if ((g < (SIZE)) & MASK[g]) begin
^
%Warning-SELRANGE: t/t_gen_cond_bitrange_bad.v:96: Selection index out of range: 2:2 outside 1:0
: ... In instance t.i_test_gen
%Warning-SELRANGE: t/t_gen_cond_bitrange_bad.v:96:35: Selection index out of range: 2:2 outside 1:0
: ... In instance t.i_test_gen
if (!((g >= SIZE) | ~MASK[g])) begin
^
%Error: Exiting due to

View File

@ -1,4 +1,4 @@
%Error: t/t_gen_missing.v:42: Cannot find file containing module: 'foo_not_needed'
%Error: t/t_gen_missing.v:42:20: Cannot find file containing module: 'foo_not_needed'
foo_not_needed i_foo(.x(foo[j]), .y(bar[j]));
^~~~~~~~~~~~~~
... Looked in:

View File

@ -1,5 +1,5 @@
%Error: t/t_gen_var_bad.v:9: Non-genvar used in generate for: 'i'
: ... In instance t
%Error: t/t_gen_var_bad.v:9:7: Non-genvar used in generate for: 'i'
: ... In instance t
for (i=0; i<3; i=i+1) begin
^~~
%Error: Exiting due to

View File

@ -1,86 +1,86 @@
%Warning-USERFATAL: "boom"
... Use "/* verilator lint_off USERFATAL */" and lint_on around source to disable this message.
%Error: t/t_generate_fatal_bad.v:12: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz'
: ... In instance t.genloop[0].foo_inst
t/t_generate_fatal_bad.v:8: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
t/t_generate_fatal_bad.v:12: ... Called from get_baz() with parameters:
%Error: t/t_generate_fatal_bad.v:12:29: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz'
: ... In instance t.genloop[0].foo_inst
t/t_generate_fatal_bad.v:8:4: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
t/t_generate_fatal_bad.v:12:29: ... Called from get_baz() with parameters:
bar = ?32?h0
localparam integer BAZ = get_baz(BAR);
^~~~~~~
%Error: t/t_generate_fatal_bad.v:12: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz'
: ... In instance t.genloop[1].foo_inst
t/t_generate_fatal_bad.v:8: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
t/t_generate_fatal_bad.v:12: ... Called from get_baz() with parameters:
%Error: t/t_generate_fatal_bad.v:12:29: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz'
: ... In instance t.genloop[1].foo_inst
t/t_generate_fatal_bad.v:8:4: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
t/t_generate_fatal_bad.v:12:29: ... Called from get_baz() with parameters:
bar = ?32?h1
localparam integer BAZ = get_baz(BAR);
^~~~~~~
%Error: t/t_generate_fatal_bad.v:12: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz'
: ... In instance t.gen_l1[2].gen_l2[0].foo_inst2
t/t_generate_fatal_bad.v:8: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
t/t_generate_fatal_bad.v:12: ... Called from get_baz() with parameters:
%Error: t/t_generate_fatal_bad.v:12:29: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz'
: ... In instance t.gen_l1[2].gen_l2[0].foo_inst2
t/t_generate_fatal_bad.v:8:4: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
t/t_generate_fatal_bad.v:12:29: ... Called from get_baz() with parameters:
bar = 32'h2
localparam integer BAZ = get_baz(BAR);
^~~~~~~
%Error: t/t_generate_fatal_bad.v:12: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz'
: ... In instance t.gen_l1[2].gen_l2[1].foo_inst2
t/t_generate_fatal_bad.v:8: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
t/t_generate_fatal_bad.v:12: ... Called from get_baz() with parameters:
%Error: t/t_generate_fatal_bad.v:12:29: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz'
: ... In instance t.gen_l1[2].gen_l2[1].foo_inst2
t/t_generate_fatal_bad.v:8:4: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
t/t_generate_fatal_bad.v:12:29: ... Called from get_baz() with parameters:
bar = 32'h4
localparam integer BAZ = get_baz(BAR);
^~~~~~~
%Error: t/t_generate_fatal_bad.v:12: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz'
: ... In instance t.gen_l1[3].gen_l2[0].foo_inst2
t/t_generate_fatal_bad.v:8: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
t/t_generate_fatal_bad.v:12: ... Called from get_baz() with parameters:
%Error: t/t_generate_fatal_bad.v:12:29: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz'
: ... In instance t.gen_l1[3].gen_l2[0].foo_inst2
t/t_generate_fatal_bad.v:8:4: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
t/t_generate_fatal_bad.v:12:29: ... Called from get_baz() with parameters:
bar = 32'h3
localparam integer BAZ = get_baz(BAR);
^~~~~~~
%Error: t/t_generate_fatal_bad.v:12: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz'
: ... In instance t.gen_l1[3].gen_l2[1].foo_inst2
t/t_generate_fatal_bad.v:8: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
t/t_generate_fatal_bad.v:12: ... Called from get_baz() with parameters:
%Error: t/t_generate_fatal_bad.v:12:29: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz'
: ... In instance t.gen_l1[3].gen_l2[1].foo_inst2
t/t_generate_fatal_bad.v:8:4: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
t/t_generate_fatal_bad.v:12:29: ... Called from get_baz() with parameters:
bar = 32'h5
localparam integer BAZ = get_baz(BAR);
^~~~~~~
%Error: t/t_generate_fatal_bad.v:12: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz'
: ... In instance t.cond_true.foo_inst3
t/t_generate_fatal_bad.v:8: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
t/t_generate_fatal_bad.v:12: ... Called from get_baz() with parameters:
%Error: t/t_generate_fatal_bad.v:12:29: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz'
: ... In instance t.cond_true.foo_inst3
t/t_generate_fatal_bad.v:8:4: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
t/t_generate_fatal_bad.v:12:29: ... Called from get_baz() with parameters:
bar = ?32?h6
localparam integer BAZ = get_baz(BAR);
^~~~~~~
%Error: t/t_generate_fatal_bad.v:12: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz'
: ... In instance t.genblk1.foo_inst4
t/t_generate_fatal_bad.v:8: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
t/t_generate_fatal_bad.v:12: ... Called from get_baz() with parameters:
%Error: t/t_generate_fatal_bad.v:12:29: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz'
: ... In instance t.genblk1.foo_inst4
t/t_generate_fatal_bad.v:8:4: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
t/t_generate_fatal_bad.v:12:29: ... Called from get_baz() with parameters:
bar = ?32?h7
localparam integer BAZ = get_baz(BAR);
^~~~~~~
%Error: t/t_generate_fatal_bad.v:12: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz'
: ... In instance t.nested_loop[8].foo2_inst.foo2_loop[0].foo_in_foo2_inst
t/t_generate_fatal_bad.v:8: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
t/t_generate_fatal_bad.v:12: ... Called from get_baz() with parameters:
%Error: t/t_generate_fatal_bad.v:12:29: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz'
: ... In instance t.nested_loop[8].foo2_inst.foo2_loop[0].foo_in_foo2_inst
t/t_generate_fatal_bad.v:8:4: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
t/t_generate_fatal_bad.v:12:29: ... Called from get_baz() with parameters:
bar = 32'h8
localparam integer BAZ = get_baz(BAR);
^~~~~~~
%Error: t/t_generate_fatal_bad.v:12: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz'
: ... In instance t.nested_loop[8].foo2_inst.foo2_loop[1].foo_in_foo2_inst
t/t_generate_fatal_bad.v:8: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
t/t_generate_fatal_bad.v:12: ... Called from get_baz() with parameters:
%Error: t/t_generate_fatal_bad.v:12:29: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz'
: ... In instance t.nested_loop[8].foo2_inst.foo2_loop[1].foo_in_foo2_inst
t/t_generate_fatal_bad.v:8:4: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
t/t_generate_fatal_bad.v:12:29: ... Called from get_baz() with parameters:
bar = 32'h9
localparam integer BAZ = get_baz(BAR);
^~~~~~~
%Error: t/t_generate_fatal_bad.v:12: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz'
: ... In instance t.nested_loop[10].foo2_inst.foo2_loop[0].foo_in_foo2_inst
t/t_generate_fatal_bad.v:8: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
t/t_generate_fatal_bad.v:12: ... Called from get_baz() with parameters:
%Error: t/t_generate_fatal_bad.v:12:29: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz'
: ... In instance t.nested_loop[10].foo2_inst.foo2_loop[0].foo_in_foo2_inst
t/t_generate_fatal_bad.v:8:4: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
t/t_generate_fatal_bad.v:12:29: ... Called from get_baz() with parameters:
bar = 32'ha
localparam integer BAZ = get_baz(BAR);
^~~~~~~
%Error: t/t_generate_fatal_bad.v:12: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz'
: ... In instance t.nested_loop[10].foo2_inst.foo2_loop[1].foo_in_foo2_inst
t/t_generate_fatal_bad.v:8: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
t/t_generate_fatal_bad.v:12: ... Called from get_baz() with parameters:
%Error: t/t_generate_fatal_bad.v:12:29: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz'
: ... In instance t.nested_loop[10].foo2_inst.foo2_loop[1].foo_in_foo2_inst
t/t_generate_fatal_bad.v:8:4: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
t/t_generate_fatal_bad.v:12:29: ... Called from get_baz() with parameters:
bar = 32'hb
localparam integer BAZ = get_baz(BAR);
^~~~~~~

View File

@ -1,5 +1,5 @@
%Error: t/t_genvar_for_bad.v:22: Genvar not legal in non-generate for (IEEE 1800-2017 27.4): 't.i'
: ... Suggest move for loop upwards to generate-level scope.
%Error: t/t_genvar_for_bad.v:22:10: Genvar not legal in non-generate for (IEEE 1800-2017 27.4): 't.i'
: ... Suggest move for loop upwards to generate-level scope.
for (i=0; i<N; i=i+1) begin
^~~
%Error: Exiting due to

View File

@ -1,17 +1,17 @@
%Warning-ENDLABEL: t/t_hierarchy_identifier_bad.v:33: End label 'if_cnt_finish_bad' does not match begin label 'if_cnt_finish'
%Warning-ENDLABEL: t/t_hierarchy_identifier_bad.v:33:10: End label 'if_cnt_finish_bad' does not match begin label 'if_cnt_finish'
end : if_cnt_finish_bad
^~~~~~~~~~~~~~~~~
... Use "/* verilator lint_off ENDLABEL */" and lint_on around source to disable this message.
%Warning-ENDLABEL: t/t_hierarchy_identifier_bad.v:39: End label 'generate_for_bad' does not match begin label 'generate_for'
%Warning-ENDLABEL: t/t_hierarchy_identifier_bad.v:39:10: End label 'generate_for_bad' does not match begin label 'generate_for'
end : generate_for_bad
^~~~~~~~~~~~~~~~
%Warning-ENDLABEL: t/t_hierarchy_identifier_bad.v:46: End label 'generate_if_if_bad' does not match begin label 'generate_if_if'
%Warning-ENDLABEL: t/t_hierarchy_identifier_bad.v:46:10: End label 'generate_if_if_bad' does not match begin label 'generate_if_if'
end : generate_if_if_bad
^~~~~~~~~~~~~~~~~~
%Warning-ENDLABEL: t/t_hierarchy_identifier_bad.v:50: End label 'generate_if_else_bad' does not match begin label 'generate_if_else'
%Warning-ENDLABEL: t/t_hierarchy_identifier_bad.v:50:10: End label 'generate_if_else_bad' does not match begin label 'generate_if_else'
end : generate_if_else_bad
^~~~~~~~~~~~~~~~~~~~
%Warning-ENDLABEL: t/t_hierarchy_identifier_bad.v:53: End label 't_bad' does not match begin label 't'
%Warning-ENDLABEL: t/t_hierarchy_identifier_bad.v:53:13: End label 't_bad' does not match begin label 't'
endmodule : t_bad
^~~~~
%Error: Exiting due to

View File

@ -1,10 +1,10 @@
%Warning-INITIALDLY: t/t_initial_dlyass.v:17: Delayed assignments (<=) in initial or final block
: ... Suggest blocking assignments (=)
%Warning-INITIALDLY: t/t_initial_dlyass.v:17:9: Delayed assignments (<=) in initial or final block
: ... Suggest blocking assignments (=)
a <= 22;
^~
... Use "/* verilator lint_off INITIALDLY */" and lint_on around source to disable this message.
%Warning-INITIALDLY: t/t_initial_dlyass.v:18: Delayed assignments (<=) in initial or final block
: ... Suggest blocking assignments (=)
%Warning-INITIALDLY: t/t_initial_dlyass.v:18:9: Delayed assignments (<=) in initial or final block
: ... Suggest blocking assignments (=)
b <= 33;
^~
%Error: Exiting due to

View File

@ -1,5 +1,5 @@
%Error: t/t_inst_array_bad.v:18: Input port connection 'onebit' as part of a module instance array requires 1 or 8 bits, but connection's VARREF 'onebitbad' generates 9 bits.
: ... In instance t
%Error: t/t_inst_array_bad.v:18:28: Input port connection 'onebit' as part of a module instance array requires 1 or 8 bits, but connection's VARREF 'onebitbad' generates 9 bits.
: ... In instance t
sub sub [7:0] (allbits, onebitbad, bitout);
^~~~~~~~~
%Error: Exiting due to

View File

@ -1,4 +1,4 @@
%Error: obj_vlt/t_inst_long_bad/t_inst_long.v:4: Cannot find file containing module: 'long_long_long_long_long_long_lo__Vhsh1JZCXQVBM1QiASYlLmgTuAXYyUr7VAbJYwVHfiAD'
%Error: obj_vlt/t_inst_long_bad/t_inst_long.v:4:3: Cannot find file containing module: 'long_long_long_long_long_long_lo__Vhsh1JZCXQVBM1QiASYlLmgTuAXYyUr7VAbJYwVHfiAD'
long_long_long_long_long_long_long_long_long_long_long_long_long_long_long_long_long_long_long_long_long_long_long_long_long_long_long_long_long_long_long_long_long_long_long_long_long_long_long_long_ inst ();
^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
... Unsupported: Name is longer than 127 characters; automatic file lookup not supported.

View File

@ -1,5 +1,5 @@
%Error: t/t_inst_misarray_bad.v:16: VARREF 't.foo' is not an unpacked array, but is in an unpacked array context
: ... In instance t.foo
%Error: t/t_inst_misarray_bad.v:16:27: VARREF 't.foo' is not an unpacked array, but is in an unpacked array context
: ... In instance t.foo
.foo(foo));
^~~
%Error: Exiting due to

View File

@ -1,11 +1,11 @@
%Warning-PINNOCONNECT: t/t_inst_missing_bad.v:8: Cell pin is not connected: '__pinNumber2'
%Warning-PINNOCONNECT: t/t_inst_missing_bad.v:8:22: Cell pin is not connected: '__pinNumber2'
sub sub (.ok(ok), , .nc());
^
... Use "/* verilator lint_off PINNOCONNECT */" and lint_on around source to disable this message.
%Warning-PINCONNECTEMPTY: t/t_inst_missing_bad.v:8: Cell pin connected by name with empty reference: 'nc'
%Warning-PINCONNECTEMPTY: t/t_inst_missing_bad.v:8:25: Cell pin connected by name with empty reference: 'nc'
sub sub (.ok(ok), , .nc());
^~
%Warning-PINMISSING: t/t_inst_missing_bad.v:8: Cell has missing pin: 'missing'
%Warning-PINMISSING: t/t_inst_missing_bad.v:8:8: Cell has missing pin: 'missing'
sub sub (.ok(ok), , .nc());
^~~
%Error: Exiting due to

View File

@ -1,18 +1,18 @@
%Warning-WIDTH: t/t_inst_overwide.v:22: Output port connection 'outy_w92' expects 92 bits on the pin connection, but pin connection's VARREF 'outc_w30' generates 30 bits.
: ... In instance t
%Warning-WIDTH: t/t_inst_overwide.v:22:14: Output port connection 'outy_w92' expects 92 bits on the pin connection, but pin connection's VARREF 'outc_w30' generates 30 bits.
: ... In instance t
.outy_w92 (outc_w30),
^~~~~~~~
... Use "/* verilator lint_off WIDTH */" and lint_on around source to disable this message.
%Warning-WIDTH: t/t_inst_overwide.v:23: Output port connection 'outz_w22' expects 22 bits on the pin connection, but pin connection's VARREF 'outd_w73' generates 73 bits.
: ... In instance t
%Warning-WIDTH: t/t_inst_overwide.v:23:14: Output port connection 'outz_w22' expects 22 bits on the pin connection, but pin connection's VARREF 'outd_w73' generates 73 bits.
: ... In instance t
.outz_w22 (outd_w73),
^~~~~~~~
%Warning-WIDTH: t/t_inst_overwide.v:26: Input port connection 'inw_w31' expects 31 bits on the pin connection, but pin connection's VARREF 'ina_w1' generates 1 bits.
: ... In instance t
%Warning-WIDTH: t/t_inst_overwide.v:26:14: Input port connection 'inw_w31' expects 31 bits on the pin connection, but pin connection's VARREF 'ina_w1' generates 1 bits.
: ... In instance t
.inw_w31 (ina_w1),
^~~~~~~
%Warning-WIDTH: t/t_inst_overwide.v:27: Input port connection 'inx_w11' expects 11 bits on the pin connection, but pin connection's VARREF 'inb_w61' generates 61 bits.
: ... In instance t
%Warning-WIDTH: t/t_inst_overwide.v:27:14: Input port connection 'inx_w11' expects 11 bits on the pin connection, but pin connection's VARREF 'inb_w61' generates 61 bits.
: ... In instance t
.inx_w11 (inb_w61)
^~~~~~~
%Error: Exiting due to

View File

@ -1,5 +1,5 @@
%Error: t/t_inst_recurse2_bad.v:17: Unsupported: Identically recursive module (module instantiates itself, without changing parameters): 'looped'
: ... In instance t.looped.looped
%Error: t/t_inst_recurse2_bad.v:17:8: Unsupported: Identically recursive module (module instantiates itself, without changing parameters): 'looped'
: ... In instance t.looped.looped
module looped ( );
^~~~~~
%Error: Exiting due to

View File

@ -1,4 +1,4 @@
%Error: t/t_inst_recurse_bad.v:17: Unsupported: Recursive multiple modules (module instantiates something leading back to itself): 'looped'
%Error: t/t_inst_recurse_bad.v:17:8: Unsupported: Recursive multiple modules (module instantiates something leading back to itself): 'looped'
... note: self-recursion (module instantiating itself directly) is supported.
module looped ( );
^~~~~~

View File

@ -1,9 +1,9 @@
%Error: t/t_interface_array_bad.v:22: Expecting expression to be constant, but variable isn't const: 'bar'
: ... In instance t
%Error: t/t_interface_array_bad.v:22:16: Expecting expression to be constant, but variable isn't const: 'bar'
: ... In instance t
assign foos[bar].a = 1'b1;
^~~
%Error: t/t_interface_array_bad.v:22: Could not expand constant selection inside dotted reference: 'bar'
: ... In instance t
%Error: t/t_interface_array_bad.v:22:15: Could not expand constant selection inside dotted reference: 'bar'
: ... In instance t
assign foos[bar].a = 1'b1;
^
%Error: Exiting due to

View File

@ -1,18 +1,18 @@
%Warning-LITENDIAN: t/t_interface_array_nocolon_bad.v:25: Little endian cell range connecting to vector: MSB < LSB of cell range: 0:2
: ... In instance t
%Warning-LITENDIAN: t/t_interface_array_nocolon_bad.v:25:26: Little endian cell range connecting to vector: MSB < LSB of cell range: 0:2
: ... In instance t
foo_intf foos [N] (.x(X));
^
... Use "/* verilator lint_off LITENDIAN */" and lint_on around source to disable this message.
%Warning-LITENDIAN: t/t_interface_array_nocolon_bad.v:26: Little endian cell range connecting to vector: MSB < LSB of cell range: 1:3
: ... In instance t
%Warning-LITENDIAN: t/t_interface_array_nocolon_bad.v:26:28: Little endian cell range connecting to vector: MSB < LSB of cell range: 1:3
: ... In instance t
foo_intf fool [1:3] (.x(X));
^
%Warning-LITENDIAN: t/t_interface_array_nocolon_bad.v:29: Little endian cell range connecting to vector: MSB < LSB of cell range: 0:2
: ... In instance t
%Warning-LITENDIAN: t/t_interface_array_nocolon_bad.v:29:26: Little endian cell range connecting to vector: MSB < LSB of cell range: 0:2
: ... In instance t
foo_subm subs [N] (.x(X));
^
%Warning-LITENDIAN: t/t_interface_array_nocolon_bad.v:30: Little endian cell range connecting to vector: MSB < LSB of cell range: 1:3
: ... In instance t
%Warning-LITENDIAN: t/t_interface_array_nocolon_bad.v:30:28: Little endian cell range connecting to vector: MSB < LSB of cell range: 1:3
: ... In instance t
foo_subm subl [1:3] (.x(X));
^
%Error: Exiting due to

View File

@ -1,9 +1,9 @@
%Error: t/t_interface_asvar_bad.v:28: Operator ASSIGN expected non-interface on Assign RHS but 'itf' is an interface.
: ... In instance t.source
%Error: t/t_interface_asvar_bad.v:28:16: Operator ASSIGN expected non-interface on Assign RHS but 'itf' is an interface.
: ... In instance t.source
getter = itf;
^~~
%Error: t/t_interface_asvar_bad.v:29: Operator ADD expected non-interface on RHS but 'itf' is an interface.
: ... In instance t.source
%Error: t/t_interface_asvar_bad.v:29:23: Operator ADD expected non-interface on RHS but 'itf' is an interface.
: ... In instance t.source
getter = 4'd3 + itf;
^~~
%Error: Exiting due to

View File

@ -1,4 +1,4 @@
%Error: t/t_interface_mismodport_bad.v:35: Can't find definition of 'bad' in dotted signal: 'isub.bad'
%Error: t/t_interface_mismodport_bad.v:35:12: Can't find definition of 'bad' in dotted signal: 'isub.bad'
isub.bad = i_value;
^~~
... Known scopes under 'bad': <no cells found>

View File

@ -1,10 +1,10 @@
%Error: t/t_interface_missing_bad.v:13: Cannot find file containing interface: 'foo_intf'
%Error: t/t_interface_missing_bad.v:13:4: Cannot find file containing interface: 'foo_intf'
foo_intf foo
^~~~~~~~
%Error: t/t_interface_missing_bad.v:19: Cannot find file containing interface: 'foo_intf'
%Error: t/t_interface_missing_bad.v:19:4: Cannot find file containing interface: 'foo_intf'
foo_intf the_foo ();
^~~~~~~~
%Error: t/t_interface_missing_bad.v:24: Found definition of 'the_foo' as a CELL but expected a variable
%Error: t/t_interface_missing_bad.v:24:15: Found definition of 'the_foo' as a CELL but expected a variable
.foo (the_foo)
^~~~~~~
%Error: Exiting due to

View File

@ -1,5 +1,5 @@
%Error: t/t_interface_modport_bad.v:22: Modport not found under interface 'ifc': 'oop_modport'
: ... Suggested alternative: 'out_modport'
%Error: t/t_interface_modport_bad.v:22:8: Modport not found under interface 'ifc': 'oop_modport'
: ... Suggested alternative: 'out_modport'
ifc.oop_modport isub,
^~~~~~~~~~~
%Error: Exiting due to

View File

@ -1,5 +1,5 @@
%Error: t/t_interface_param_acc_bits.v:14: Parameter-resolved constants must not use dotted references: 'dummy'
: ... In instance t
%Error: t/t_interface_param_acc_bits.v:14:42: Parameter-resolved constants must not use dotted references: 'dummy'
: ... In instance t
simple_bus #(.PARAMETER($bits(sb_intf.dummy))) simple();
^~~~~
%Error: Exiting due to

View File

@ -1,5 +1,5 @@
%Error: t/t_interface_param_another_bad.v:8: Parameter-resolved constants must not use dotted references: 'dummy'
: ... In instance t
%Error: t/t_interface_param_another_bad.v:8:42: Parameter-resolved constants must not use dotted references: 'dummy'
: ... In instance t
simple_bus #(.PARAMETER($bits(sb_intf.dummy))) simple();
^~~~~
%Error: Exiting due to

View File

@ -1,9 +1,9 @@
%Error: t/t_interface_size_bad.v:15: Illegal port connection 'foo', mismatch between port which is an interface array of size 5, and expression which is an interface array of size 4.
: ... In instance t
%Error: t/t_interface_size_bad.v:15:20: Illegal port connection 'foo', mismatch between port which is an interface array of size 5, and expression which is an interface array of size 4.
: ... In instance t
baz baz4_inst (.foo(foo4));
^~~
%Error: t/t_interface_size_bad.v:16: Illegal port connection 'foo', mismatch between port which is an interface array of size 5, and expression which is an interface array of size 6.
: ... In instance t
%Error: t/t_interface_size_bad.v:16:20: Illegal port connection 'foo', mismatch between port which is an interface array of size 5, and expression which is an interface array of size 6.
: ... In instance t
baz baz6_inst (.foo(foo6));
^~~
%Error: Exiting due to

View File

@ -1,7 +1,7 @@
%Error: t/t_interface_top_bad.v:16: Unsupported: Interfaced port on top level module
%Error: t/t_interface_top_bad.v:16:19: Unsupported: Interfaced port on top level module
ifc.counter_mp c_data
^~~~~~
%Error: t/t_interface_top_bad.v:16: Parent cell's interface is not found: 'ifc'
%Error: t/t_interface_top_bad.v:16:4: Parent cell's interface is not found: 'ifc'
ifc.counter_mp c_data
^~~
%Error: Exiting due to

View File

@ -1,10 +1,10 @@
%Error: t/t_interface_typo_bad.v:13: Parent cell's interface is not found: 'foo_intf'
%Error: t/t_interface_typo_bad.v:13:4: Parent cell's interface is not found: 'foo_intf'
foo_intf foo
^~~~~~~~
%Error: t/t_interface_typo_bad.v:21: Cannot find file containing interface: 'fo_intf'
%Error: t/t_interface_typo_bad.v:21:4: Cannot find file containing interface: 'fo_intf'
fo_intf the_foo;
^~~~~~~
%Error: t/t_interface_typo_bad.v:26: Found definition of 'the_foo' as a CELL but expected a variable
%Error: t/t_interface_typo_bad.v:26:15: Found definition of 'the_foo' as a CELL but expected a variable
.foo (the_foo)
^~~~~~~
%Error: Exiting due to

View File

@ -1,5 +1,5 @@
%Error: t/t_interface_wrong_bad.v:31: Port 'foo_port' expects 'foo_intf' interface but pin connects 'bar_intf' interface
: ... In instance t
%Error: t/t_interface_wrong_bad.v:31:8: Port 'foo_port' expects 'foo_intf' interface but pin connects 'bar_intf' interface
: ... In instance t
.foo_port (bar)
^~~~~~~~
%Error: Exiting due to

View File

@ -1,18 +1,18 @@
%Error-PROCASSWIRE: t/t_lint_always_comb_bad.v:28: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'temp1'
: ... In instance t
%Error-PROCASSWIRE: t/t_lint_always_comb_bad.v:28:9: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'temp1'
: ... In instance t
temp1 = 'h0;
^~~~~
%Error-PROCASSWIRE: t/t_lint_always_comb_bad.v:30: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'temp1'
: ... In instance t
%Error-PROCASSWIRE: t/t_lint_always_comb_bad.v:30:9: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'temp1'
: ... In instance t
temp1 = (temp1_d1r - 'h1);
^~~~~
%Warning-ALWCOMBORDER: t/t_lint_always_comb_bad.v:31: Always_comb variable driven after use: 'mid'
: ... In instance t
%Warning-ALWCOMBORDER: t/t_lint_always_comb_bad.v:31:7: Always_comb variable driven after use: 'mid'
: ... In instance t
mid = (temp1_d1r == 'h0);
^~~
... Use "/* verilator lint_off ALWCOMBORDER */" and lint_on around source to disable this message.
%Error-PROCASSWIRE: t/t_lint_always_comb_bad.v:45: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'temp1_d1r'
: ... In instance t
%Error-PROCASSWIRE: t/t_lint_always_comb_bad.v:45:7: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'temp1_d1r'
: ... In instance t
temp1_d1r <= temp1;
^~~~~~~~~
%Error: Exiting due to

View File

@ -1,10 +1,10 @@
%Warning-BLKSEQ: t/t_lint_blksync_bad.v:23: Blocking assignments (=) in sequential (flop or latch) block
: ... Suggest delayed assignments (<=)
%Warning-BLKSEQ: t/t_lint_blksync_bad.v:23:16: Blocking assignments (=) in sequential (flop or latch) block
: ... Suggest delayed assignments (<=)
sync_blk = 1'b1;
^
... Use "/* verilator lint_off BLKSEQ */" and lint_on around source to disable this message.
%Warning-COMBDLY: t/t_lint_blksync_bad.v:30: Delayed assignments (<=) in non-clocked (non flop or latch) block
: ... Suggest blocking assignments (=)
%Warning-COMBDLY: t/t_lint_blksync_bad.v:30:18: Delayed assignments (<=) in non-clocked (non flop or latch) block
: ... Suggest blocking assignments (=)
combo_nblk <= 1'b1;
^~
*** See the manual before disabling this,

View File

@ -1,8 +1,8 @@
%Warning-BSSPACE: t/t_lint_bsspace_bad.v:9: Backslash followed by whitespace, perhaps the whitespace is accidental?
%Warning-BSSPACE: t/t_lint_bsspace_bad.v:9:21: Backslash followed by whitespace, perhaps the whitespace is accidental?
`define FOO blak \
^
... Use "/* verilator lint_off BSSPACE */" and lint_on around source to disable this message.
%Error: t/t_lint_bsspace_bad.v:10: syntax error, unexpected IDENTIFIER
%Error: t/t_lint_bsspace_bad.v:10:4: syntax error, unexpected IDENTIFIER
blak
^~~~
%Error: Exiting due to

View File

@ -1,4 +1,4 @@
%Warning-COLONPLUS: t/t_lint_colonplus_bad.v:12: Perhaps instead of ':+' the intent was '+:'?
%Warning-COLONPLUS: t/t_lint_colonplus_bad.v:12:25: Perhaps instead of ':+' the intent was '+:'?
output [2:1] z = r[2 :+ 1];
^~
... Use "/* verilator lint_off COLONPLUS */" and lint_on around source to disable this message.

View File

@ -1,4 +1,4 @@
%Error: t/t_lint_comb_bad.v:13: syntax error, unexpected '@'
%Error: t/t_lint_comb_bad.v:13:16: syntax error, unexpected '@'
always_comb @(*) begin
^
%Error: Cannot continue

View File

@ -1,4 +1,4 @@
%Warning-DECLFILENAME: t/t_lint_declfilename.v:6: Filename 't_lint_declfilename' does not match MODULE name: 't'
%Warning-DECLFILENAME: t/t_lint_declfilename.v:6:8: Filename 't_lint_declfilename' does not match MODULE name: 't'
module t;
^
... Use "/* verilator lint_off DECLFILENAME */" and lint_on around source to disable this message.

View File

@ -1,4 +1,4 @@
%Warning-DEFPARAM: t/t_lint_defparam.v:9: Suggest replace defparam assignment with Verilog 2001 #(.P(...etc...))
%Warning-DEFPARAM: t/t_lint_defparam.v:9:19: Suggest replace defparam assignment with Verilog 2001 #(.P(...etc...))
defparam sub.P = 2;
^
... Use "/* verilator lint_off DEFPARAM */" and lint_on around source to disable this message.

View File

@ -1,5 +1,5 @@
%Warning-IFDEPTH: t/t_lint_ifdepth_bad.v:21: Deep 'if' statement; suggest unique/priority to avoid slow logic
: ... In instance t
%Warning-IFDEPTH: t/t_lint_ifdepth_bad.v:21:12: Deep 'if' statement; suggest unique/priority to avoid slow logic
: ... In instance t
else if (value==11) begin end
^~
... Use "/* verilator lint_off IFDEPTH */" and lint_on around source to disable this message.

View File

@ -1,16 +1,16 @@
%Warning-IMPLICIT: t/t_lint_implicit.v:10: Signal definition not found, creating implicitly: 'b'
%Warning-IMPLICIT: t/t_lint_implicit.v:10:11: Signal definition not found, creating implicitly: 'b'
assign b = 1'b1;
^
... Use "/* verilator lint_off IMPLICIT */" and lint_on around source to disable this message.
%Warning-IMPLICIT: t/t_lint_implicit.v:12: Signal definition not found, creating implicitly: 'nt0'
%Warning-IMPLICIT: t/t_lint_implicit.v:12:14: Signal definition not found, creating implicitly: 'nt0'
or OR0 (nt0, a, b);
^~~
%Warning-IMPLICIT: t/t_lint_implicit.v:15: Signal definition not found, creating implicitly: 'dummy1'
: ... Suggested alternative: 'dummy_ip'
%Warning-IMPLICIT: t/t_lint_implicit.v:15:12: Signal definition not found, creating implicitly: 'dummy1'
: ... Suggested alternative: 'dummy_ip'
assign {dummy1, dummy2} = dummy_ip;
^~~~~~
%Warning-IMPLICIT: t/t_lint_implicit.v:15: Signal definition not found, creating implicitly: 'dummy2'
: ... Suggested alternative: 'dummy1'
%Warning-IMPLICIT: t/t_lint_implicit.v:15:20: Signal definition not found, creating implicitly: 'dummy2'
: ... Suggested alternative: 'dummy1'
assign {dummy1, dummy2} = dummy_ip;
^~~~~~
%Error: Exiting due to

Some files were not shown because too many files have changed in this diff Show More