From 81c659957e89f28861fde870f000cce2d5f76729 Mon Sep 17 00:00:00 2001 From: Wilson Snyder Date: Sat, 14 Mar 2020 22:02:42 -0400 Subject: [PATCH] Add column numbers to errors and warnings. --- Changes | 2 + src/V3FileLine.cpp | 2 +- test_regress/t/t_array_backw_index_bad.out | 20 ++-- test_regress/t/t_array_list_bad.out | 8 +- test_regress/t/t_array_pattern_bad.out | 4 +- test_regress/t/t_assert_comp_bad.out | 16 ++-- test_regress/t/t_assert_dup_bad.out | 4 +- test_regress/t/t_assoc_meth_bad.out | 36 +++---- test_regress/t/t_assoc_pattern_unsup.out | 4 +- test_regress/t/t_bitsel_const_bad.out | 4 +- test_regress/t/t_bitsel_wire_array_bad.out | 4 +- test_regress/t/t_case_default_bad.out | 2 +- test_regress/t/t_case_genx_bad.out | 4 +- test_regress/t/t_case_x_bad.out | 4 +- test_regress/t/t_case_zx_bad.out | 2 +- test_regress/t/t_cdc_async_bad.out | 6 +- test_regress/t/t_class_unsup_bad.out | 18 ++-- test_regress/t/t_clk_first_bad.out | 2 +- test_regress/t/t_clk_scope_bad.out | 4 +- test_regress/t/t_concat_large_bad.out | 4 +- test_regress/t/t_concat_link_bad.out | 8 +- test_regress/t/t_const_bad.out | 12 +-- test_regress/t/t_const_dec_mixed_bad.out | 2 +- test_regress/t/t_const_overflow_bad.out | 10 +- test_regress/t/t_delay_stmtdly_bad.out | 8 +- test_regress/t/t_display_bad.out | 4 +- test_regress/t/t_display_esc_bad.out | 2 +- test_regress/t/t_dpi_2exp_bad.out | 2 +- test_regress/t/t_dpi_dup_bad.out | 6 +- test_regress/t/t_dpi_exp_bad.out | 2 +- test_regress/t/t_dpi_logic_bad.out | 2 +- test_regress/t/t_dpi_name_bad.out | 2 +- test_regress/t/t_dynarray_bad.out | 4 +- test_regress/t/t_enum_bad_hide.out | 4 +- test_regress/t/t_enum_overlap_bad.out | 6 +- test_regress/t/t_enum_recurse_bad.out | 6 +- test_regress/t/t_enum_type_methods_bad.out | 4 +- test_regress/t/t_enum_x_bad.out | 8 +- test_regress/t/t_flag_context_bad.out | 8 +- test_regress/t/t_flag_errorlimit_bad.out | 8 +- test_regress/t/t_flag_topmodule_bad.out | 10 +- test_regress/t/t_flag_werror_bad1.out | 4 +- test_regress/t/t_flag_werror_bad2.out | 4 +- test_regress/t/t_flag_wfatal.out | 4 +- test_regress/t/t_flag_wpedantic_bad.out | 2 +- test_regress/t/t_for_comma_bad.out | 18 ++-- test_regress/t/t_func_bad.out | 28 +++--- test_regress/t/t_func_bad2.out | 4 +- test_regress/t/t_func_bad_width.out | 8 +- test_regress/t/t_func_const2_bad.out | 10 +- test_regress/t/t_func_const3_bad.out | 4 +- test_regress/t/t_func_const_bad.out | 46 ++++----- .../t/t_func_const_packed_array_bad.out | 10 +- .../t/t_func_const_packed_struct_bad.out | 10 +- .../t/t_func_const_packed_struct_bad2.out | 10 +- test_regress/t/t_func_const_struct_bad.out | 10 +- test_regress/t/t_func_task_bad.out | 2 +- test_regress/t/t_func_tie_bad.out | 2 +- test_regress/t/t_func_void_bad.out | 2 +- test_regress/t/t_func_wide_out_bad.out | 4 +- test_regress/t/t_fuzz_always_bad.out | 6 +- test_regress/t/t_fuzz_eqne_bad.out | 4 +- test_regress/t/t_fuzz_genintf_bad.out | 8 +- test_regress/t/t_fuzz_negwidth_bad.out | 2 +- test_regress/t/t_fuzz_triand_bad.out | 8 +- test_regress/t/t_gen_cond_bitrange_bad.out | 16 ++-- test_regress/t/t_gen_missing_bad.out | 2 +- test_regress/t/t_gen_var_bad.out | 4 +- test_regress/t/t_generate_fatal_bad.out | 96 +++++++++---------- test_regress/t/t_genvar_for_bad.out | 4 +- test_regress/t/t_hierarchy_identifier_bad.out | 10 +- test_regress/t/t_initial_dlyass_bad.out | 8 +- test_regress/t/t_inst_array_bad.out | 4 +- test_regress/t/t_inst_long_bad.out | 2 +- test_regress/t/t_inst_misarray_bad.out | 4 +- test_regress/t/t_inst_missing_bad.out | 6 +- test_regress/t/t_inst_overwide_bad.out | 16 ++-- test_regress/t/t_inst_recurse2_bad.out | 4 +- test_regress/t/t_inst_recurse_bad.out | 2 +- test_regress/t/t_interface_array_bad.out | 8 +- .../t/t_interface_array_nocolon_bad.out | 16 ++-- test_regress/t/t_interface_asvar_bad.out | 8 +- test_regress/t/t_interface_mismodport_bad.out | 2 +- test_regress/t/t_interface_missing_bad.out | 6 +- test_regress/t/t_interface_modport_bad.out | 4 +- test_regress/t/t_interface_param_acc_bits.out | 4 +- .../t/t_interface_param_another_bad.out | 4 +- test_regress/t/t_interface_size_bad.out | 8 +- test_regress/t/t_interface_top_bad.out | 4 +- test_regress/t/t_interface_typo_bad.out | 6 +- test_regress/t/t_interface_wrong_bad.out | 4 +- test_regress/t/t_lint_always_comb_bad.out | 16 ++-- test_regress/t/t_lint_blksync_bad.out | 8 +- test_regress/t/t_lint_bsspace_bad.out | 4 +- test_regress/t/t_lint_colonplus_bad.out | 2 +- test_regress/t/t_lint_comb_bad.out | 2 +- test_regress/t/t_lint_declfilename_bad.out | 2 +- test_regress/t/t_lint_defparam_bad.out | 2 +- test_regress/t/t_lint_ifdepth_bad.out | 4 +- test_regress/t/t_lint_implicit_bad.out | 12 +-- test_regress/t/t_lint_implicit_def_bad.out | 4 +- test_regress/t/t_lint_import_name_bad.out | 2 +- test_regress/t/t_lint_importstar_bad.out | 2 +- test_regress/t/t_lint_in_inc_bad.out | 6 +- test_regress/t/t_lint_incabspath_bad.out | 2 +- test_regress/t/t_lint_infinite.out | 8 +- test_regress/t/t_lint_input_eq_bad.out | 2 +- test_regress/t/t_lint_latch_bad.out | 4 +- test_regress/t/t_lint_literal_bad.out | 2 +- test_regress/t/t_lint_mod_paren_bad.out | 2 +- test_regress/t/t_lint_modport_dir_bad.out | 4 +- test_regress/t/t_lint_multidriven_bad.out | 12 +-- test_regress/t/t_lint_once_bad.out | 8 +- test_regress/t/t_lint_pindup_bad.out | 22 ++--- test_regress/t/t_lint_pkg_colon_bad.out | 6 +- test_regress/t/t_lint_realcvt_bad.out | 2 +- test_regress/t/t_lint_repeat_bad.out | 4 +- test_regress/t/t_lint_restore_bad.out | 4 +- test_regress/t/t_lint_rsvd_bad.out | 6 +- test_regress/t/t_lint_setout_bad.out | 4 +- test_regress/t/t_lint_setout_bad_noinl.out | 4 +- test_regress/t/t_lint_subout_bad.out | 12 +-- test_regress/t/t_lint_syncasyncnet_bad.out | 6 +- test_regress/t/t_lint_unsized_bad.out | 2 +- test_regress/t/t_lint_unused_bad.out | 24 ++--- test_regress/t/t_lint_unused_iface_bad.out | 8 +- test_regress/t/t_lint_vcmarker_bad.out | 6 +- test_regress/t/t_lint_width_bad.out | 40 ++++---- test_regress/t/t_lint_width_genfor_bad.out | 20 ++-- test_regress/t/t_math_shift_over_bad.out | 4 +- test_regress/t/t_math_shortreal_unsup_bad.out | 2 +- test_regress/t/t_mem_multi_ref_bad.out | 36 +++---- test_regress/t/t_mem_packed_bad.out | 2 +- test_regress/t/t_mem_slice_bad.out | 24 ++--- test_regress/t/t_mem_slice_dtype_bad.out | 2 +- test_regress/t/t_metacmt_onoff.out | 4 +- test_regress/t/t_mod_dup_bad.out | 12 +-- test_regress/t/t_mod_interface_array3.out | 4 +- test_regress/t/t_multitop_sig_bad.out | 10 +- test_regress/t/t_number_bad.out | 6 +- test_regress/t/t_order_clkinst_bad.out | 14 +-- test_regress/t/t_order_loop_bad.pl | 6 +- test_regress/t/t_order_wireloop.pl | 2 +- test_regress/t/t_package_export_bad.out | 24 ++--- test_regress/t/t_packed_concat_bad.out | 12 +-- test_regress/t/t_param_circ_bad.out | 4 +- test_regress/t/t_param_concat_bad.out | 12 +-- test_regress/t/t_param_default_bad.out | 4 +- test_regress/t/t_param_default_presv_bad.out | 2 +- test_regress/t/t_param_in_func_bad.out | 4 +- test_regress/t/t_param_noval_bad.out | 28 +++--- test_regress/t/t_param_scope_bad.out | 2 +- test_regress/t/t_param_sel_range_bad.out | 4 +- test_regress/t/t_param_type_bad.out | 2 +- test_regress/t/t_param_type_bad2.out | 8 +- test_regress/t/t_param_up_bad.out | 2 +- test_regress/t/t_past_bad.out | 8 +- test_regress/t/t_past_unsup_bad.out | 2 +- test_regress/t/t_pp_circ_subst_bad.out | 4 +- test_regress/t/t_pp_circdef_bad.out | 4 +- test_regress/t/t_pp_defkwd_bad.out | 2 +- test_regress/t/t_pp_defparen_bad.out | 4 +- test_regress/t/t_pp_dupdef_bad.out | 8 +- test_regress/t/t_pp_line_bad.out | 12 +-- test_regress/t/t_pp_misdef_bad.out | 8 +- test_regress/t/t_pp_pragma_bad.out | 2 +- test_regress/t/t_pp_resetall_bad.out | 2 +- test_regress/t/t_pp_underline_bad.out | 4 +- test_regress/t/t_preproc_cmtend_bad.out | 2 +- test_regress/t/t_preproc_inc_bad.out | 4 +- test_regress/t/t_preproc_inc_notfound_bad.out | 2 +- test_regress/t/t_preproc_inc_recurse_bad.out | 2 +- test_regress/t/t_preproc_stringend_bad.out | 2 +- test_regress/t/t_prot_lib_inout_bad.out | 2 +- test_regress/t/t_prot_lib_unpacked_bad.out | 4 +- test_regress/t/t_queue_unsup_bad.out | 60 ++++++------ test_regress/t/t_select_bad_msb.out | 6 +- test_regress/t/t_select_bad_range.out | 8 +- test_regress/t/t_select_bad_range2.out | 4 +- test_regress/t/t_select_bad_range3.out | 4 +- test_regress/t/t_select_bad_tri.out | 4 +- test_regress/t/t_split_var_1_bad.out | 58 +++++------ test_regress/t/t_string_type_methods_bad.out | 12 +-- test_regress/t/t_struct_init_bad.out | 4 +- test_regress/t/t_struct_notfound_bad.out | 4 +- test_regress/t/t_struct_unpacked_bad.out | 2 +- test_regress/t/t_sys_readmem_assoc_bad.out | 8 +- test_regress/t/t_tri_compass_bad.out | 4 +- test_regress/t/t_tri_pull2_bad.out | 6 +- test_regress/t/t_tri_pull_bad.out | 6 +- test_regress/t/t_tri_pullvec_bad.out | 12 +-- test_regress/t/t_typedef_circ_bad.out | 2 +- test_regress/t/t_udp_bad.out | 2 +- test_regress/t/t_unopt_combo_bad.out | 12 +-- test_regress/t/t_unopt_converge_unopt_bad.out | 8 +- test_regress/t/t_unoptflat_simple_2_bad.out | 12 +-- test_regress/t/t_unpacked_concat_bad.out | 20 ++-- test_regress/t/t_var_bad_hide.out | 8 +- test_regress/t/t_var_bad_hide2.out | 4 +- test_regress/t/t_var_bad_sameas.out | 20 ++-- test_regress/t/t_var_bad_sv.out | 6 +- test_regress/t/t_var_const_bad.out | 4 +- test_regress/t/t_var_dotted_dup_bad.out | 4 +- test_regress/t/t_var_dup2_bad.out | 10 +- test_regress/t/t_var_dup_bad.out | 78 +++++++-------- test_regress/t/t_var_in_assign_bad.out | 4 +- test_regress/t/t_var_notfound_bad.out | 18 ++-- test_regress/t/t_var_port2_bad.out | 4 +- test_regress/t/t_var_port_bad.out | 2 +- test_regress/t/t_var_ref_bad1.out | 4 +- test_regress/t/t_var_ref_bad2.out | 8 +- test_regress/t/t_var_ref_bad3.out | 2 +- test_regress/t/t_var_rsvd_bad.out | 6 +- test_regress/t/t_var_suggest_bad.out | 8 +- test_regress/t/t_var_types_bad.out | 32 +++---- test_regress/t/t_vlt_syntax_bad.out | 4 +- test_regress/t/t_vlt_warn_bad.out | 2 +- test_regress/t/t_wire_beh1364_bad.out | 20 ++-- test_regress/t/t_wire_beh1800_bad.out | 20 ++-- test_regress/t/t_wire_behp1364_bad.out | 12 +-- test_regress/t/t_wire_behp1800_bad.out | 12 +-- 221 files changed, 938 insertions(+), 936 deletions(-) diff --git a/Changes b/Changes index 2099d9d85..e8ce8392a 100644 --- a/Changes +++ b/Changes @@ -5,6 +5,8 @@ The contributors that suggested a given feature are shown in []. Thanks! * Verilator 4.031 devel +** Add column numbers to errors and warnings. + *** Add setting VM_PARALLEL_BUILDS=1 when using --output-split, #2185. diff --git a/src/V3FileLine.cpp b/src/V3FileLine.cpp index ccf065b73..67e91916f 100644 --- a/src/V3FileLine.cpp +++ b/src/V3FileLine.cpp @@ -288,7 +288,7 @@ string FileLine::asciiLineCol() const { } string FileLine::ascii() const { // For most errors especially in the parser the lastLineno is more accurate than firstLineno - return filename()+":"+cvtToStr(lastLineno()); + return filename() + ":" + cvtToStr(lastLineno()) + ":" + cvtToStr(firstColumn()); } std::ostream& operator<<(std::ostream& os, FileLine* fileline) { os <ascii()<<": "< 32 bits or four-state; use a two-state type or task instead: 'dpix_f_bit48__Vfuncrtn' +%Error: t/t_dpi_exp_bad.v:11:24: DPI functions cannot return > 32 bits or four-state; use a two-state type or task instead: 'dpix_f_bit48__Vfuncrtn' function bit [47:0] dpix_f_bit48(bit [47:0] i); dpix_f_bit48 = ~i; endfunction ^~~~~~~~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_dpi_logic_bad.out b/test_regress/t/t_dpi_logic_bad.out index 3d701665c..8feacea39 100644 --- a/test_regress/t/t_dpi_logic_bad.out +++ b/test_regress/t/t_dpi_logic_bad.out @@ -1,4 +1,4 @@ -%Error: t/t_dpi_logic_bad.v:11: DPI function may not return type BASICDTYPE 'logic' (IEEE 1800-2017 35.5.5) +%Error: t/t_dpi_logic_bad.v:11:54: DPI function may not return type BASICDTYPE 'logic' (IEEE 1800-2017 35.5.5) import "DPI-C" dpii_fa_bit = function logic [2:0] oth_f_int1(input time i); ^~~~~~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_dpi_name_bad.out b/test_regress/t/t_dpi_name_bad.out index ebbef4650..9151de04f 100644 --- a/test_regress/t/t_dpi_name_bad.out +++ b/test_regress/t/t_dpi_name_bad.out @@ -1,4 +1,4 @@ -%Error: t/t_dpi_name_bad.v:11: DPI function has illegal characters in C identifier name: 'badly.named' +%Error: t/t_dpi_name_bad.v:11:32: DPI function has illegal characters in C identifier name: 'badly.named' import "DPI-C" function int \badly.named (int i); ^~~~~~~~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_dynarray_bad.out b/test_regress/t/t_dynarray_bad.out index c323f3ca2..bd2481d0f 100644 --- a/test_regress/t/t_dynarray_bad.out +++ b/test_regress/t/t_dynarray_bad.out @@ -1,5 +1,5 @@ -%Warning-WIDTH: t/t_dynarray_bad.v:14: Operator NEWDYNAMIC expects 32 bits on the new() size, but new() size's VARREF 's' generates 64 bits. - : ... In instance t +%Warning-WIDTH: t/t_dynarray_bad.v:14:11: Operator NEWDYNAMIC expects 32 bits on the new() size, but new() size's VARREF 's' generates 64 bits. + : ... In instance t a = new [s]; ^~~ ... Use "/* verilator lint_off WIDTH */" and lint_on around source to disable this message. diff --git a/test_regress/t/t_enum_bad_hide.out b/test_regress/t/t_enum_bad_hide.out index e9ab63481..c91e87534 100644 --- a/test_regress/t/t_enum_bad_hide.out +++ b/test_regress/t/t_enum_bad_hide.out @@ -1,7 +1,7 @@ -%Warning-VARHIDDEN: t/t_enum_bad_hide.v:10: Declaration of enum value hides declaration in upper scope: HIDE_VALUE +%Warning-VARHIDDEN: t/t_enum_bad_hide.v:10:19: Declaration of enum value hides declaration in upper scope: HIDE_VALUE typedef enum { HIDE_VALUE = 0 } hide_enum_t; ^~~~~~~~~~ - t/t_enum_bad_hide.v:6: ... Location of original declaration + t/t_enum_bad_hide.v:6:16: ... Location of original declaration typedef enum { HIDE_VALUE = 0 } hide_enum_t; ^~~~~~~~~~ ... Use "/* verilator lint_off VARHIDDEN */" and lint_on around source to disable this message. diff --git a/test_regress/t/t_enum_overlap_bad.out b/test_regress/t/t_enum_overlap_bad.out index 8e6aa75eb..20fd97c48 100644 --- a/test_regress/t/t_enum_overlap_bad.out +++ b/test_regress/t/t_enum_overlap_bad.out @@ -1,8 +1,8 @@ -%Error: t/t_enum_overlap_bad.v:11: Overlapping enumeration value: 'e1b' - : ... In instance t +%Error: t/t_enum_overlap_bad.v:11:11: Overlapping enumeration value: 'e1b' + : ... In instance t e1b=1 ^~~ - t/t_enum_overlap_bad.v:9: ... Location of original declaration + t/t_enum_overlap_bad.v:9:11: ... Location of original declaration e1, ^~ %Error: Exiting due to diff --git a/test_regress/t/t_enum_recurse_bad.out b/test_regress/t/t_enum_recurse_bad.out index b56550b32..f3392cf7d 100644 --- a/test_regress/t/t_enum_recurse_bad.out +++ b/test_regress/t/t_enum_recurse_bad.out @@ -1,10 +1,10 @@ -%Error: t/t_enum_recurse_bad.v:6: Recursive enum value: 'u' +%Error: t/t_enum_recurse_bad.v:6:9: Recursive enum value: 'u' enum {u=u} e_t; ^ -%Error: t/t_enum_recurse_bad.v:6: Expecting expression to be constant, but variable isn't const: 'u' +%Error: t/t_enum_recurse_bad.v:6:9: Expecting expression to be constant, but variable isn't const: 'u' enum {u=u} e_t; ^ -%Error: t/t_enum_recurse_bad.v:6: Enum value isn't a constant +%Error: t/t_enum_recurse_bad.v:6:9: Enum value isn't a constant enum {u=u} e_t; ^ %Error: Exiting due to diff --git a/test_regress/t/t_enum_type_methods_bad.out b/test_regress/t/t_enum_type_methods_bad.out index ca262cefa..2b414daf5 100644 --- a/test_regress/t/t_enum_type_methods_bad.out +++ b/test_regress/t/t_enum_type_methods_bad.out @@ -1,5 +1,5 @@ -%Error: Internal Error: t/t_enum_type_methods_bad.v:23: ../V3Width.cpp:#: Unsupported: enum next/prev with non-const argument - : ... In instance t +%Error: Internal Error: t/t_enum_type_methods_bad.v:23:14: ../V3Width.cpp:#: Unsupported: enum next/prev with non-const argument + : ... In instance t e.next(increment); ^~~~~~~~~ ... See the manual and https://verilator.org for more assistance. diff --git a/test_regress/t/t_enum_x_bad.out b/test_regress/t/t_enum_x_bad.out index 0f6ffbf24..760820bfc 100644 --- a/test_regress/t/t_enum_x_bad.out +++ b/test_regress/t/t_enum_x_bad.out @@ -1,9 +1,9 @@ -%Error: t/t_enum_x_bad.v:8: Enum value with X/Zs cannot be assigned to non-fourstate type (IEEE 1800-2017 6.19) - : ... In instance t +%Error: t/t_enum_x_bad.v:8:21: Enum value with X/Zs cannot be assigned to non-fourstate type (IEEE 1800-2017 6.19) + : ... In instance t enum bit [1:0] { BADX = 2'b1x } BAD1; ^~~~ -%Error: t/t_enum_x_bad.v:11: Enum value that is unassigned cannot follow value with X/Zs (IEEE 1800-2017 6.19) - : ... In instance t +%Error: t/t_enum_x_bad.v:11:23: Enum value that is unassigned cannot follow value with X/Zs (IEEE 1800-2017 6.19) + : ... In instance t e1 ^~ %Error: Exiting due to diff --git a/test_regress/t/t_flag_context_bad.out b/test_regress/t/t_flag_context_bad.out index e1f8112fa..87209539c 100644 --- a/test_regress/t/t_flag_context_bad.out +++ b/test_regress/t/t_flag_context_bad.out @@ -1,6 +1,6 @@ -%Warning-WIDTH: t/t_flag_context_bad.v:8: Operator ASSIGNW expects 3 bits on the Assign RHS, but Assign RHS's CONST '5'h1f' generates 5 bits. - : ... In instance t +%Warning-WIDTH: t/t_flag_context_bad.v:8:19: Operator ASSIGNW expects 3 bits on the Assign RHS, but Assign RHS's CONST '5'h1f' generates 5 bits. + : ... In instance t ... Use "/* verilator lint_off WIDTH */" and lint_on around source to disable this message. -%Warning-UNUSED: t/t_flag_context_bad.v:8: Signal is not used: 'foo' - : ... In instance t +%Warning-UNUSED: t/t_flag_context_bad.v:8:15: Signal is not used: 'foo' + : ... In instance t %Error: Exiting due to diff --git a/test_regress/t/t_flag_errorlimit_bad.out b/test_regress/t/t_flag_errorlimit_bad.out index e25846d3d..0f34eaa23 100644 --- a/test_regress/t/t_flag_errorlimit_bad.out +++ b/test_regress/t/t_flag_errorlimit_bad.out @@ -1,13 +1,13 @@ -%Error: t/t_flag_errorlimit_bad.v:9: Duplicate declaration of signal: 'u1' +%Error: t/t_flag_errorlimit_bad.v:9:8: Duplicate declaration of signal: 'u1' int u1; ^~ - t/t_flag_errorlimit_bad.v:8: ... Location of original declaration + t/t_flag_errorlimit_bad.v:8:8: ... Location of original declaration int u1; ^~ -%Error: t/t_flag_errorlimit_bad.v:10: Duplicate declaration of signal: 'u1' +%Error: t/t_flag_errorlimit_bad.v:10:8: Duplicate declaration of signal: 'u1' int u1; ^~ - t/t_flag_errorlimit_bad.v:8: ... Location of original declaration + t/t_flag_errorlimit_bad.v:8:8: ... Location of original declaration int u1; ^~ %Error: Exiting due to diff --git a/test_regress/t/t_flag_topmodule_bad.out b/test_regress/t/t_flag_topmodule_bad.out index c4aed56b2..5b173eed8 100644 --- a/test_regress/t/t_flag_topmodule_bad.out +++ b/test_regress/t/t_flag_topmodule_bad.out @@ -1,13 +1,13 @@ -%Warning-MULTITOP: t/t_flag_topmodule.v:14: Multiple top level modules - : ... Suggest see manual; fix the duplicates, or use --top-module to select top. +%Warning-MULTITOP: t/t_flag_topmodule.v:14:8: Multiple top level modules + : ... Suggest see manual; fix the duplicates, or use --top-module to select top. ... Use "/* verilator lint_off MULTITOP */" and lint_on around source to disable this message. - : ... Top module 'a' + : ... Top module 'a' module a; ^ - : ... Top module 'a2' + : ... Top module 'a2' module a2; ^~ - : ... Top module 'b' + : ... Top module 'b' module b; ^ %Error: Exiting due to diff --git a/test_regress/t/t_flag_werror_bad1.out b/test_regress/t/t_flag_werror_bad1.out index 3e2f8ee87..dd7063dd9 100644 --- a/test_regress/t/t_flag_werror_bad1.out +++ b/test_regress/t/t_flag_werror_bad1.out @@ -1,5 +1,5 @@ -%Warning-WIDTH: t/t_flag_werror.v:9: Operator ASSIGNW expects 4 bits on the Assign RHS, but Assign RHS's CONST '6'h2e' generates 6 bits. - : ... In instance t +%Warning-WIDTH: t/t_flag_werror.v:9:19: Operator ASSIGNW expects 4 bits on the Assign RHS, but Assign RHS's CONST '6'h2e' generates 6 bits. + : ... In instance t wire [3:0] foo = 6'h2e; ^ ... Use "/* verilator lint_off WIDTH */" and lint_on around source to disable this message. diff --git a/test_regress/t/t_flag_werror_bad2.out b/test_regress/t/t_flag_werror_bad2.out index bca8ecd32..dae8b2505 100644 --- a/test_regress/t/t_flag_werror_bad2.out +++ b/test_regress/t/t_flag_werror_bad2.out @@ -1,5 +1,5 @@ -%Error-WIDTH: t/t_flag_werror.v:9: Operator ASSIGNW expects 4 bits on the Assign RHS, but Assign RHS's CONST '6'h2e' generates 6 bits. - : ... In instance t +%Error-WIDTH: t/t_flag_werror.v:9:19: Operator ASSIGNW expects 4 bits on the Assign RHS, but Assign RHS's CONST '6'h2e' generates 6 bits. + : ... In instance t wire [3:0] foo = 6'h2e; ^ %Error: Exiting due to diff --git a/test_regress/t/t_flag_wfatal.out b/test_regress/t/t_flag_wfatal.out index bd41eb431..dd182892c 100644 --- a/test_regress/t/t_flag_wfatal.out +++ b/test_regress/t/t_flag_wfatal.out @@ -1,5 +1,5 @@ -%Warning-WIDTH: t/t_flag_wfatal.v:9: Operator ASSIGNW expects 4 bits on the Assign RHS, but Assign RHS's CONST '6'h2e' generates 6 bits. - : ... In instance t +%Warning-WIDTH: t/t_flag_wfatal.v:9:19: Operator ASSIGNW expects 4 bits on the Assign RHS, but Assign RHS's CONST '6'h2e' generates 6 bits. + : ... In instance t wire [3:0] foo = 6'h2e; ^ ... Use "/* verilator lint_off WIDTH */" and lint_on around source to disable this message. diff --git a/test_regress/t/t_flag_wpedantic_bad.out b/test_regress/t/t_flag_wpedantic_bad.out index 26e8ff0ae..03131dc23 100644 --- a/test_regress/t/t_flag_wpedantic_bad.out +++ b/test_regress/t/t_flag_wpedantic_bad.out @@ -1,4 +1,4 @@ -%Error: t/t_flag_wpedantic_bad.v:7: syntax error, unexpected global, expecting IDENTIFIER or '=' or do or final +%Error: t/t_flag_wpedantic_bad.v:7:14: syntax error, unexpected global, expecting IDENTIFIER or '=' or do or final reg global; ^ %Error: Exiting due to diff --git a/test_regress/t/t_for_comma_bad.out b/test_regress/t/t_for_comma_bad.out index 8549edd19..3a6257949 100644 --- a/test_regress/t/t_for_comma_bad.out +++ b/test_regress/t/t_for_comma_bad.out @@ -1,28 +1,28 @@ -%Error: t/t_for_comma_bad.v:13: Unsupported: for loop step after the first comma +%Error: t/t_for_comma_bad.v:13:16: Unsupported: for loop step after the first comma for (; ; a=a+1, b=b+1) ; ^ -%Error: t/t_for_comma_bad.v:16: Unsupported: for loop step after the first comma +%Error: t/t_for_comma_bad.v:16:19: Unsupported: for loop step after the first comma for (; a<1; a=a+1, b=b+1) ; ^ -%Error: t/t_for_comma_bad.v:19: Unsupported: for loop step after the first comma +%Error: t/t_for_comma_bad.v:19:22: Unsupported: for loop step after the first comma for (a=0; a<1; a=a+1, b=b+1) ; ^ -%Error: t/t_for_comma_bad.v:22: Unsupported: for loop step after the first comma +%Error: t/t_for_comma_bad.v:22:30: Unsupported: for loop step after the first comma for (integer a=0; a<1; a=a+1, b=b+1) ; ^ -%Error: t/t_for_comma_bad.v:25: Unsupported: for loop step after the first comma +%Error: t/t_for_comma_bad.v:25:34: Unsupported: for loop step after the first comma for (var integer a=0; a<1; a=a+1, b=b+1) ; ^ -%Error: t/t_for_comma_bad.v:26: Unsupported: for loop initialization after the first comma +%Error: t/t_for_comma_bad.v:26:23: Unsupported: for loop initialization after the first comma for (integer a=0, integer b=0; a<1; ) ; ^ -%Error: t/t_for_comma_bad.v:27: Unsupported: for loop initialization after the first comma +%Error: t/t_for_comma_bad.v:27:23: Unsupported: for loop initialization after the first comma for (integer a=0, integer b=0; a<1; a=a+1) ; ^ -%Error: t/t_for_comma_bad.v:28: Unsupported: for loop initialization after the first comma +%Error: t/t_for_comma_bad.v:28:23: Unsupported: for loop initialization after the first comma for (integer a=0, integer b=0; a<1; a=a+1, b=b+1) ; ^ -%Error: t/t_for_comma_bad.v:28: Unsupported: for loop step after the first comma +%Error: t/t_for_comma_bad.v:28:43: Unsupported: for loop step after the first comma for (integer a=0, integer b=0; a<1; a=a+1, b=b+1) ; ^ %Error: Exiting due to diff --git a/test_regress/t/t_func_bad.out b/test_regress/t/t_func_bad.out index 382023e2f..e26b7b186 100644 --- a/test_regress/t/t_func_bad.out +++ b/test_regress/t/t_func_bad.out @@ -1,29 +1,29 @@ -%Error: t/t_func_bad.v:8: Missing argument on non-defaulted argument 'from2' in function call to FUNC 'add' - : ... In instance t +%Error: t/t_func_bad.v:8:11: Missing argument on non-defaulted argument 'from2' in function call to FUNC 'add' + : ... In instance t if (add(3'd1) != 0) $stop; ^~~ -%Error: t/t_func_bad.v:9: Too many arguments in function call to FUNC 'add' - : ... In instance t +%Error: t/t_func_bad.v:9:27: Too many arguments in function call to FUNC 'add' + : ... In instance t if (add(3'd1, 3'd2, 3'd3) != 0) $stop; ^~~~ -%Error: t/t_func_bad.v:10: Missing argument on non-defaulted argument 'y' in function call to TASK 'x' - : ... In instance t +%Error: t/t_func_bad.v:10:7: Missing argument on non-defaulted argument 'y' in function call to TASK 'x' + : ... In instance t x; ^ -%Error: t/t_func_bad.v:10: Unsupported: Function output argument 'y' requires 1 bits, but connection's CONST '?32?h0' generates 32 bits. - : ... In instance t +%Error: t/t_func_bad.v:10:7: Unsupported: Function output argument 'y' requires 1 bits, but connection's CONST '?32?h0' generates 32 bits. + : ... In instance t x; ^ -%Error: t/t_func_bad.v:13: No such argument 'no_such' in function call to FUNC 'f' - : ... In instance t +%Error: t/t_func_bad.v:13:17: No such argument 'no_such' in function call to FUNC 'f' + : ... In instance t f(.j(1), .no_such(2)); ^~~~~~~ -%Error: t/t_func_bad.v:14: Duplicate argument 'dup' in function call to FUNC 'f' - : ... In instance t +%Error: t/t_func_bad.v:14:19: Duplicate argument 'dup' in function call to FUNC 'f' + : ... In instance t f(.dup(1), .dup(3)); ^~~ -%Error: t/t_func_bad.v:15: Too many arguments in function call to FUNC 'f' - : ... In instance t +%Error: t/t_func_bad.v:15:13: Too many arguments in function call to FUNC 'f' + : ... In instance t f(1,2,3); ^ %Error: Exiting due to diff --git a/test_regress/t/t_func_bad2.out b/test_regress/t/t_func_bad2.out index 012ba7557..fa1b8d768 100644 --- a/test_regress/t/t_func_bad2.out +++ b/test_regress/t/t_func_bad2.out @@ -1,5 +1,5 @@ -%Error: t/t_func_bad2.v:7: Unsupported: Recursive function or task call - : ... In instance t +%Error: t/t_func_bad2.v:7:13: Unsupported: Recursive function or task call + : ... In instance t function recurse; ^~~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_func_bad_width.out b/test_regress/t/t_func_bad_width.out index c7c0a9535..38efd932f 100644 --- a/test_regress/t/t_func_bad_width.out +++ b/test_regress/t/t_func_bad_width.out @@ -1,10 +1,10 @@ -%Warning-WIDTH: t/t_func_bad_width.v:12: Operator FUNCREF 'MUX' expects 40 bits on the Function Argument, but Function Argument's VARREF 'in' generates 39 bits. - : ... In instance t +%Warning-WIDTH: t/t_func_bad_width.v:12:13: Operator FUNCREF 'MUX' expects 40 bits on the Function Argument, but Function Argument's VARREF 'in' generates 39 bits. + : ... In instance t out = MUX (in); ^~~ ... Use "/* verilator lint_off WIDTH */" and lint_on around source to disable this message. -%Warning-WIDTH: t/t_func_bad_width.v:12: Operator ASSIGN expects 4 bits on the Assign RHS, but Assign RHS's FUNCREF 'MUX' generates 32 bits. - : ... In instance t +%Warning-WIDTH: t/t_func_bad_width.v:12:11: Operator ASSIGN expects 4 bits on the Assign RHS, but Assign RHS's FUNCREF 'MUX' generates 32 bits. + : ... In instance t out = MUX (in); ^ %Error: Exiting due to diff --git a/test_regress/t/t_func_const2_bad.out b/test_regress/t/t_func_const2_bad.out index 41cf4ff10..fda827f2f 100644 --- a/test_regress/t/t_func_const2_bad.out +++ b/test_regress/t/t_func_const2_bad.out @@ -1,12 +1,12 @@ %Warning-USERFATAL: "f_add = 15" ... Use "/* verilator lint_off USERFATAL */" and lint_on around source to disable this message. -%Error: t/t_func_const2_bad.v:21: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_add2' - : ... In instance t.b8_a7.c9 - t/t_func_const2_bad.v:9: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing - t/t_func_const2_bad.v:14: ... Called from f_add() with parameters: +%Error: t/t_func_const2_bad.v:21:23: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_add2' + : ... In instance t.b8_a7.c9 + t/t_func_const2_bad.v:9:6: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing + t/t_func_const2_bad.v:14:13: ... Called from f_add() with parameters: a = 32'h7 b = 32'h8 - t/t_func_const2_bad.v:21: ... Called from f_add2() with parameters: + t/t_func_const2_bad.v:21:23: ... Called from f_add2() with parameters: a = ?32?h7 b = ?32?h8 c = ?32?h9 diff --git a/test_regress/t/t_func_const3_bad.out b/test_regress/t/t_func_const3_bad.out index cbf392c97..8eb0c7e72 100644 --- a/test_regress/t/t_func_const3_bad.out +++ b/test_regress/t/t_func_const3_bad.out @@ -1,5 +1,5 @@ -%Warning-WIDTHCONCAT: t/t_func_const3_bad.v:11: More than a 8k bit replication is probably wrong: 9000 - : ... In instance t.b9k.c9 +%Warning-WIDTHCONCAT: t/t_func_const3_bad.v:11:28: More than a 8k bit replication is probably wrong: 9000 + : ... In instance t.b9k.c9 localparam SOMEP = {BITS{1'b0}}; ^ ... Use "/* verilator lint_off WIDTHCONCAT */" and lint_on around source to disable this message. diff --git a/test_regress/t/t_func_const_bad.out b/test_regress/t/t_func_const_bad.out index ba829d35c..6bbe257da 100644 --- a/test_regress/t/t_func_const_bad.out +++ b/test_regress/t/t_func_const_bad.out @@ -1,33 +1,33 @@ -%Error: t/t_func_const_bad.v:11: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_bad_output' - : ... In instance t - t/t_func_const_bad.v:12: ... Location of non-constant VAR 'o': Language violation: Outputs/refs not allowed in constant functions +%Error: t/t_func_const_bad.v:11:20: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_bad_output' + : ... In instance t + t/t_func_const_bad.v:12:64: ... Location of non-constant VAR 'o': Language violation: Outputs/refs not allowed in constant functions localparam B1 = f_bad_output(1,2); ^~~~~~~~~~~~ -%Error: t/t_func_const_bad.v:20: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_bad_dotted' - : ... In instance t - t/t_func_const_bad.v:22: ... Location of non-constant VARXREF 'EIGHT': Language violation: Dotted hierarchical references not allowed in constant functions - t/t_func_const_bad.v:20: ... Called from f_bad_dotted() with parameters: +%Error: t/t_func_const_bad.v:20:20: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_bad_dotted' + : ... In instance t + t/t_func_const_bad.v:22:24: ... Location of non-constant VARXREF 'EIGHT': Language violation: Dotted hierarchical references not allowed in constant functions + t/t_func_const_bad.v:20:20: ... Called from f_bad_dotted() with parameters: a = ?32?h2 localparam B2 = f_bad_dotted(2); ^~~~~~~~~~~~ -%Error: t/t_func_const_bad.v:27: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_bad_nonparam' - : ... In instance t - t/t_func_const_bad.v:29: ... Location of non-constant VARREF 'modvar': Language violation: reference to non-function-local variable - t/t_func_const_bad.v:27: ... Called from f_bad_nonparam() with parameters: +%Error: t/t_func_const_bad.v:27:20: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_bad_nonparam' + : ... In instance t + t/t_func_const_bad.v:29:24: ... Location of non-constant VARREF 'modvar': Language violation: reference to non-function-local variable + t/t_func_const_bad.v:27:20: ... Called from f_bad_nonparam() with parameters: a = ?32?h3 localparam B3 = f_bad_nonparam(3); ^~~~~~~~~~~~~~ -%Error: t/t_func_const_bad.v:35: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_bad_infinite' - : ... In instance t - t/t_func_const_bad.v:37: ... Location of non-constant WHILE: Loop unrolling took too long; probably this is an infinite loop, or set --unroll-count above 1024 - t/t_func_const_bad.v:35: ... Called from f_bad_infinite() with parameters: +%Error: t/t_func_const_bad.v:35:20: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_bad_infinite' + : ... In instance t + t/t_func_const_bad.v:37:7: ... Location of non-constant WHILE: Loop unrolling took too long; probably this is an infinite loop, or set --unroll-count above 1024 + t/t_func_const_bad.v:35:20: ... Called from f_bad_infinite() with parameters: a = ?32?h3 localparam B4 = f_bad_infinite(3); ^~~~~~~~~~~~~~ -%Error: t/t_func_const_bad.v:43: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_bad_stop' - : ... In instance t - t/t_func_const_bad.v:45: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing - t/t_func_const_bad.v:43: ... Called from f_bad_stop() with parameters: +%Error: t/t_func_const_bad.v:43:23: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_bad_stop' + : ... In instance t + t/t_func_const_bad.v:45:7: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing + t/t_func_const_bad.v:43:23: ... Called from f_bad_stop() with parameters: a = ?32?h3 localparam BSTOP = f_bad_stop(3); ^~~~~~~~~~ @@ -36,10 +36,10 @@ -Info: "Printing in loop: 2" %Warning-USERFATAL: "Fatal Error" ... Use "/* verilator lint_off USERFATAL */" and lint_on around source to disable this message. -%Error: t/t_func_const_bad.v:49: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_bad_fatal' - : ... In instance t - t/t_func_const_bad.v:54: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing - t/t_func_const_bad.v:49: ... Called from f_bad_fatal() with parameters: +%Error: t/t_func_const_bad.v:49:24: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_bad_fatal' + : ... In instance t + t/t_func_const_bad.v:54:7: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing + t/t_func_const_bad.v:49:24: ... Called from f_bad_fatal() with parameters: a = ?32?h3 localparam BFATAL = f_bad_fatal(3); ^~~~~~~~~~~ diff --git a/test_regress/t/t_func_const_packed_array_bad.out b/test_regress/t/t_func_const_packed_array_bad.out index 0c20156ca..b0d2d2209 100644 --- a/test_regress/t/t_func_const_packed_array_bad.out +++ b/test_regress/t/t_func_const_packed_array_bad.out @@ -1,11 +1,11 @@ %Warning-USERFATAL: "f_add = 15" ... Use "/* verilator lint_off USERFATAL */" and lint_on around source to disable this message. -%Error: t/t_func_const_packed_array_bad.v:11: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_add2' - : ... In instance t - t/t_func_const_packed_array_bad.v:22: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing - t/t_func_const_packed_array_bad.v:30: ... Called from f_add() with parameters: +%Error: t/t_func_const_packed_array_bad.v:11:21: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_add2' + : ... In instance t + t/t_func_const_packed_array_bad.v:22:9: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing + t/t_func_const_packed_array_bad.v:30:16: ... Called from f_add() with parameters: params = [0 = 32'h7, 1 = 32'h8] - t/t_func_const_packed_array_bad.v:11: ... Called from f_add2() with parameters: + t/t_func_const_packed_array_bad.v:11:21: ... Called from f_add2() with parameters: a = ?32?h7 b = ?32?h8 c = ?32?h9 diff --git a/test_regress/t/t_func_const_packed_struct_bad.out b/test_regress/t/t_func_const_packed_struct_bad.out index c31d93f62..bacfb6849 100644 --- a/test_regress/t/t_func_const_packed_struct_bad.out +++ b/test_regress/t/t_func_const_packed_struct_bad.out @@ -1,11 +1,11 @@ %Warning-USERFATAL: "f_add = 15" ... Use "/* verilator lint_off USERFATAL */" and lint_on around source to disable this message. -%Error: t/t_func_const_packed_struct_bad.v:13: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_add2' - : ... In instance t - t/t_func_const_packed_struct_bad.v:24: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing - t/t_func_const_packed_struct_bad.v:32: ... Called from f_add() with parameters: +%Error: t/t_func_const_packed_struct_bad.v:13:21: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_add2' + : ... In instance t + t/t_func_const_packed_struct_bad.v:24:9: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing + t/t_func_const_packed_struct_bad.v:32:16: ... Called from f_add() with parameters: params = [0 = '{a: 32'h7, b: 32'h22b}, 1 = '{a: 32'h3039, b: 32'h8}] - t/t_func_const_packed_struct_bad.v:13: ... Called from f_add2() with parameters: + t/t_func_const_packed_struct_bad.v:13:21: ... Called from f_add2() with parameters: a = ?32?h7 b = ?32?h8 c = ?32?h9 diff --git a/test_regress/t/t_func_const_packed_struct_bad2.out b/test_regress/t/t_func_const_packed_struct_bad2.out index d84f42319..c2b53d346 100644 --- a/test_regress/t/t_func_const_packed_struct_bad2.out +++ b/test_regress/t/t_func_const_packed_struct_bad2.out @@ -1,11 +1,11 @@ %Warning-USERFATAL: "f_add = 15" ... Use "/* verilator lint_off USERFATAL */" and lint_on around source to disable this message. -%Error: t/t_func_const_packed_struct_bad2.v:19: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_add2' - : ... In instance t - t/t_func_const_packed_struct_bad2.v:30: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing - t/t_func_const_packed_struct_bad2.v:42: ... Called from f_add() with parameters: +%Error: t/t_func_const_packed_struct_bad2.v:19:21: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_add2' + : ... In instance t + t/t_func_const_packed_struct_bad2.v:30:9: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing + t/t_func_const_packed_struct_bad2.v:42:16: ... Called from f_add() with parameters: params = [0 = '{a: 32'h7, foo: 6'hb, sub_params: '{b: 32'h37, bar: 8'h6f}}, 1 = '{a: 32'h3039, foo: 6'hc, sub_params: '{b: 32'h8, bar: 8'h70}}] - t/t_func_const_packed_struct_bad2.v:19: ... Called from f_add2() with parameters: + t/t_func_const_packed_struct_bad2.v:19:21: ... Called from f_add2() with parameters: a = ?32?h7 b = ?32?h8 c = ?32?h9 diff --git a/test_regress/t/t_func_const_struct_bad.out b/test_regress/t/t_func_const_struct_bad.out index 2e00d5bf7..60af24715 100644 --- a/test_regress/t/t_func_const_struct_bad.out +++ b/test_regress/t/t_func_const_struct_bad.out @@ -1,11 +1,11 @@ %Warning-USERFATAL: "f_add = 15" ... Use "/* verilator lint_off USERFATAL */" and lint_on around source to disable this message. -%Error: t/t_func_const_struct_bad.v:16: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_add2' - : ... In instance t - t/t_func_const_struct_bad.v:27: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing - t/t_func_const_struct_bad.v:37: ... Called from f_add() with parameters: +%Error: t/t_func_const_struct_bad.v:16:21: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_add2' + : ... In instance t + t/t_func_const_struct_bad.v:27:9: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing + t/t_func_const_struct_bad.v:37:16: ... Called from f_add() with parameters: params = '{a: 32'h7, b: 32'h8} - t/t_func_const_struct_bad.v:16: ... Called from f_add2() with parameters: + t/t_func_const_struct_bad.v:16:21: ... Called from f_add2() with parameters: a = ?32?h7 b = ?32?h8 c = ?32?h9 diff --git a/test_regress/t/t_func_task_bad.out b/test_regress/t/t_func_task_bad.out index a50e09a63..123962569 100644 --- a/test_regress/t/t_func_task_bad.out +++ b/test_regress/t/t_func_task_bad.out @@ -1,4 +1,4 @@ -%Error: t/t_func_task_bad.v:9: Illegal call of a task as a function: 'task_as_func' +%Error: t/t_func_task_bad.v:9:11: Illegal call of a task as a function: 'task_as_func' if (task_as_func(1'b0)) $stop; ^~~~~~~~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_func_tie_bad.out b/test_regress/t/t_func_tie_bad.out index 0a7779940..52f9203cf 100644 --- a/test_regress/t/t_func_tie_bad.out +++ b/test_regress/t/t_func_tie_bad.out @@ -1,4 +1,4 @@ -%Error: t/t_func_tie_bad.v:10: Function/task output connected to constant instead of variable: 'b' +%Error: t/t_func_tie_bad.v:10:15: Function/task output connected to constant instead of variable: 'b' func(0, 1'b1); ^~~~ %Error: Exiting due to diff --git a/test_regress/t/t_func_void_bad.out b/test_regress/t/t_func_void_bad.out index b98f258c6..73b2105c6 100644 --- a/test_regress/t/t_func_void_bad.out +++ b/test_regress/t/t_func_void_bad.out @@ -1,4 +1,4 @@ -%Warning-IGNOREDRETURN: t/t_func_void_bad.v:25: Ignoring return value of non-void function (IEEE 1800-2017 13.4.1) +%Warning-IGNOREDRETURN: t/t_func_void_bad.v:25:7: Ignoring return value of non-void function (IEEE 1800-2017 13.4.1) f1(20); ^~ ... Use "/* verilator lint_off IGNOREDRETURN */" and lint_on around source to disable this message. diff --git a/test_regress/t/t_func_wide_out_bad.out b/test_regress/t/t_func_wide_out_bad.out index 954d47112..aa5922fea 100644 --- a/test_regress/t/t_func_wide_out_bad.out +++ b/test_regress/t/t_func_wide_out_bad.out @@ -1,5 +1,5 @@ -%Error: t/t_func_wide_out_bad.v:16: Unsupported: Function output argument 'data' requires 4352 bits, but connection's VARREF 'msg' generates 4350 bits. - : ... In instance t +%Error: t/t_func_wide_out_bad.v:16:12: Unsupported: Function output argument 'data' requires 4352 bits, but connection's VARREF 'msg' generates 4350 bits. + : ... In instance t func(msg); ^~~ %Error: Exiting due to diff --git a/test_regress/t/t_fuzz_always_bad.out b/test_regress/t/t_fuzz_always_bad.out index 63fc162df..6772e55ed 100644 --- a/test_regress/t/t_fuzz_always_bad.out +++ b/test_regress/t/t_fuzz_always_bad.out @@ -1,10 +1,10 @@ -%Error: t/t_fuzz_always_bad.v:9: Can't find definition of 'a' in dotted variable: 'c.a' +%Error: t/t_fuzz_always_bad.v:9:15: Can't find definition of 'a' in dotted variable: 'c.a' always @ c.a c:h; ^ -%Error: t/t_fuzz_always_bad.v:9: Can't find definition of task/function: 'h' +%Error: t/t_fuzz_always_bad.v:9:19: Can't find definition of task/function: 'h' always @ c.a c:h; ^ -%Error: t/t_fuzz_always_bad.v:9: Unsupported: Complex statement in sensitivity list +%Error: t/t_fuzz_always_bad.v:9:14: Unsupported: Complex statement in sensitivity list always @ c.a c:h; ^ %Error: Exiting due to diff --git a/test_regress/t/t_fuzz_eqne_bad.out b/test_regress/t/t_fuzz_eqne_bad.out index 528089125..d0fc136cc 100644 --- a/test_regress/t/t_fuzz_eqne_bad.out +++ b/test_regress/t/t_fuzz_eqne_bad.out @@ -1,5 +1,5 @@ -%Error: t/t_fuzz_eqne_bad.v:11: Slice operator VARREF 't.b' on non-slicable (e.g. non-vector) right-hand-side operand - : ... In instance t.b +%Error: t/t_fuzz_eqne_bad.v:11:23: Slice operator VARREF 't.b' on non-slicable (e.g. non-vector) right-hand-side operand + : ... In instance t.b initial c = (a != &b); ^ %Error: Exiting due to diff --git a/test_regress/t/t_fuzz_genintf_bad.out b/test_regress/t/t_fuzz_genintf_bad.out index 7ba1095b9..514ca4def 100644 --- a/test_regress/t/t_fuzz_genintf_bad.out +++ b/test_regress/t/t_fuzz_genintf_bad.out @@ -1,9 +1,9 @@ -%Error: t/t_fuzz_genintf_bad.v:23: Unsupported: Member call on object 'VARREF 'j'' which is a 'BASICDTYPE 'integer'' - : ... In instance t +%Error: t/t_fuzz_genintf_bad.v:23:12: Unsupported: Member call on object 'VARREF 'j'' which is a 'BASICDTYPE 'integer'' + : ... In instance t j.e(0), ^ -%Error: Internal Error: t/t_fuzz_genintf_bad.v:23: ../V3Width.cpp:#: Unlinked pin data type - : ... In instance t +%Error: Internal Error: t/t_fuzz_genintf_bad.v:23:11: ../V3Width.cpp:#: Unlinked pin data type + : ... In instance t j.e(0), ^ ... See the manual and https://verilator.org for more assistance. diff --git a/test_regress/t/t_fuzz_negwidth_bad.out b/test_regress/t/t_fuzz_negwidth_bad.out index ac8d41a77..72506b459 100644 --- a/test_regress/t/t_fuzz_negwidth_bad.out +++ b/test_regress/t/t_fuzz_negwidth_bad.out @@ -1,4 +1,4 @@ -%Error: t/t_fuzz_negwidth_bad.v:8: Unsupported: Width of number exceeds implementation limit: 1231232312312312'd1 (IEEE 1800-2017 6.9.1) +%Error: t/t_fuzz_negwidth_bad.v:8:9: Unsupported: Width of number exceeds implementation limit: 1231232312312312'd1 (IEEE 1800-2017 6.9.1) int c = 1231232312312312'd1; ^~~~~~~~~~~~~~~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_fuzz_triand_bad.out b/test_regress/t/t_fuzz_triand_bad.out index a881e799f..1a5d8c148 100644 --- a/test_regress/t/t_fuzz_triand_bad.out +++ b/test_regress/t/t_fuzz_triand_bad.out @@ -1,9 +1,9 @@ -%Error: t/t_fuzz_triand_bad.v:7: Unsupported: Member call on object 'VARREF 'g'' which is a 'BASICDTYPE 'logic'' - : ... In instance t +%Error: t/t_fuzz_triand_bad.v:7:12: Unsupported: Member call on object 'VARREF 'g'' which is a 'BASICDTYPE 'logic'' + : ... In instance t tri g=g.and.g; ^~~ -%Error: Internal Error: t/t_fuzz_triand_bad.v:7: ../V3Width.cpp:#: Unlinked data type - : ... In instance t +%Error: Internal Error: t/t_fuzz_triand_bad.v:7:12: ../V3Width.cpp:#: Unlinked data type + : ... In instance t tri g=g.and.g; ^~~ ... See the manual and https://verilator.org for more assistance. diff --git a/test_regress/t/t_gen_cond_bitrange_bad.out b/test_regress/t/t_gen_cond_bitrange_bad.out index cb793ca13..a52a88a13 100644 --- a/test_regress/t/t_gen_cond_bitrange_bad.out +++ b/test_regress/t/t_gen_cond_bitrange_bad.out @@ -1,18 +1,18 @@ -%Warning-SELRANGE: t/t_gen_cond_bitrange_bad.v:58: Selection index out of range: 2:2 outside 1:0 - : ... In instance t.i_test_gen +%Warning-SELRANGE: t/t_gen_cond_bitrange_bad.v:58:38: Selection index out of range: 2:2 outside 1:0 + : ... In instance t.i_test_gen if ((g < (SIZE + 1)) && MASK[g]) begin ^ ... Use "/* verilator lint_off SELRANGE */" and lint_on around source to disable this message. -%Warning-SELRANGE: t/t_gen_cond_bitrange_bad.v:70: Selection index out of range: 2:2 outside 1:0 - : ... In instance t.i_test_gen +%Warning-SELRANGE: t/t_gen_cond_bitrange_bad.v:70:32: Selection index out of range: 2:2 outside 1:0 + : ... In instance t.i_test_gen if ((g < SIZE) && MASK[g + 1]) begin ^ -%Warning-SELRANGE: t/t_gen_cond_bitrange_bad.v:83: Selection index out of range: 2:2 outside 1:0 - : ... In instance t.i_test_gen +%Warning-SELRANGE: t/t_gen_cond_bitrange_bad.v:83:33: Selection index out of range: 2:2 outside 1:0 + : ... In instance t.i_test_gen if ((g < (SIZE)) & MASK[g]) begin ^ -%Warning-SELRANGE: t/t_gen_cond_bitrange_bad.v:96: Selection index out of range: 2:2 outside 1:0 - : ... In instance t.i_test_gen +%Warning-SELRANGE: t/t_gen_cond_bitrange_bad.v:96:35: Selection index out of range: 2:2 outside 1:0 + : ... In instance t.i_test_gen if (!((g >= SIZE) | ~MASK[g])) begin ^ %Error: Exiting due to diff --git a/test_regress/t/t_gen_missing_bad.out b/test_regress/t/t_gen_missing_bad.out index f1a07c9f8..dc685da5b 100644 --- a/test_regress/t/t_gen_missing_bad.out +++ b/test_regress/t/t_gen_missing_bad.out @@ -1,4 +1,4 @@ -%Error: t/t_gen_missing.v:42: Cannot find file containing module: 'foo_not_needed' +%Error: t/t_gen_missing.v:42:20: Cannot find file containing module: 'foo_not_needed' foo_not_needed i_foo(.x(foo[j]), .y(bar[j])); ^~~~~~~~~~~~~~ ... Looked in: diff --git a/test_regress/t/t_gen_var_bad.out b/test_regress/t/t_gen_var_bad.out index f218cd478..beeb95e64 100644 --- a/test_regress/t/t_gen_var_bad.out +++ b/test_regress/t/t_gen_var_bad.out @@ -1,5 +1,5 @@ -%Error: t/t_gen_var_bad.v:9: Non-genvar used in generate for: 'i' - : ... In instance t +%Error: t/t_gen_var_bad.v:9:7: Non-genvar used in generate for: 'i' + : ... In instance t for (i=0; i<3; i=i+1) begin ^~~ %Error: Exiting due to diff --git a/test_regress/t/t_generate_fatal_bad.out b/test_regress/t/t_generate_fatal_bad.out index 4e61e3f77..a1a7ff3c0 100644 --- a/test_regress/t/t_generate_fatal_bad.out +++ b/test_regress/t/t_generate_fatal_bad.out @@ -1,86 +1,86 @@ %Warning-USERFATAL: "boom" ... Use "/* verilator lint_off USERFATAL */" and lint_on around source to disable this message. -%Error: t/t_generate_fatal_bad.v:12: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz' - : ... In instance t.genloop[0].foo_inst - t/t_generate_fatal_bad.v:8: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing - t/t_generate_fatal_bad.v:12: ... Called from get_baz() with parameters: +%Error: t/t_generate_fatal_bad.v:12:29: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz' + : ... In instance t.genloop[0].foo_inst + t/t_generate_fatal_bad.v:8:4: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing + t/t_generate_fatal_bad.v:12:29: ... Called from get_baz() with parameters: bar = ?32?h0 localparam integer BAZ = get_baz(BAR); ^~~~~~~ -%Error: t/t_generate_fatal_bad.v:12: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz' - : ... In instance t.genloop[1].foo_inst - t/t_generate_fatal_bad.v:8: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing - t/t_generate_fatal_bad.v:12: ... Called from get_baz() with parameters: +%Error: t/t_generate_fatal_bad.v:12:29: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz' + : ... In instance t.genloop[1].foo_inst + t/t_generate_fatal_bad.v:8:4: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing + t/t_generate_fatal_bad.v:12:29: ... Called from get_baz() with parameters: bar = ?32?h1 localparam integer BAZ = get_baz(BAR); ^~~~~~~ -%Error: t/t_generate_fatal_bad.v:12: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz' - : ... In instance t.gen_l1[2].gen_l2[0].foo_inst2 - t/t_generate_fatal_bad.v:8: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing - t/t_generate_fatal_bad.v:12: ... Called from get_baz() with parameters: +%Error: t/t_generate_fatal_bad.v:12:29: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz' + : ... In instance t.gen_l1[2].gen_l2[0].foo_inst2 + t/t_generate_fatal_bad.v:8:4: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing + t/t_generate_fatal_bad.v:12:29: ... Called from get_baz() with parameters: bar = 32'h2 localparam integer BAZ = get_baz(BAR); ^~~~~~~ -%Error: t/t_generate_fatal_bad.v:12: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz' - : ... In instance t.gen_l1[2].gen_l2[1].foo_inst2 - t/t_generate_fatal_bad.v:8: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing - t/t_generate_fatal_bad.v:12: ... Called from get_baz() with parameters: +%Error: t/t_generate_fatal_bad.v:12:29: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz' + : ... In instance t.gen_l1[2].gen_l2[1].foo_inst2 + t/t_generate_fatal_bad.v:8:4: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing + t/t_generate_fatal_bad.v:12:29: ... Called from get_baz() with parameters: bar = 32'h4 localparam integer BAZ = get_baz(BAR); ^~~~~~~ -%Error: t/t_generate_fatal_bad.v:12: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz' - : ... In instance t.gen_l1[3].gen_l2[0].foo_inst2 - t/t_generate_fatal_bad.v:8: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing - t/t_generate_fatal_bad.v:12: ... Called from get_baz() with parameters: +%Error: t/t_generate_fatal_bad.v:12:29: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz' + : ... In instance t.gen_l1[3].gen_l2[0].foo_inst2 + t/t_generate_fatal_bad.v:8:4: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing + t/t_generate_fatal_bad.v:12:29: ... Called from get_baz() with parameters: bar = 32'h3 localparam integer BAZ = get_baz(BAR); ^~~~~~~ -%Error: t/t_generate_fatal_bad.v:12: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz' - : ... In instance t.gen_l1[3].gen_l2[1].foo_inst2 - t/t_generate_fatal_bad.v:8: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing - t/t_generate_fatal_bad.v:12: ... Called from get_baz() with parameters: +%Error: t/t_generate_fatal_bad.v:12:29: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz' + : ... In instance t.gen_l1[3].gen_l2[1].foo_inst2 + t/t_generate_fatal_bad.v:8:4: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing + t/t_generate_fatal_bad.v:12:29: ... Called from get_baz() with parameters: bar = 32'h5 localparam integer BAZ = get_baz(BAR); ^~~~~~~ -%Error: t/t_generate_fatal_bad.v:12: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz' - : ... In instance t.cond_true.foo_inst3 - t/t_generate_fatal_bad.v:8: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing - t/t_generate_fatal_bad.v:12: ... Called from get_baz() with parameters: +%Error: t/t_generate_fatal_bad.v:12:29: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz' + : ... In instance t.cond_true.foo_inst3 + t/t_generate_fatal_bad.v:8:4: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing + t/t_generate_fatal_bad.v:12:29: ... Called from get_baz() with parameters: bar = ?32?h6 localparam integer BAZ = get_baz(BAR); ^~~~~~~ -%Error: t/t_generate_fatal_bad.v:12: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz' - : ... In instance t.genblk1.foo_inst4 - t/t_generate_fatal_bad.v:8: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing - t/t_generate_fatal_bad.v:12: ... Called from get_baz() with parameters: +%Error: t/t_generate_fatal_bad.v:12:29: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz' + : ... In instance t.genblk1.foo_inst4 + t/t_generate_fatal_bad.v:8:4: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing + t/t_generate_fatal_bad.v:12:29: ... Called from get_baz() with parameters: bar = ?32?h7 localparam integer BAZ = get_baz(BAR); ^~~~~~~ -%Error: t/t_generate_fatal_bad.v:12: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz' - : ... In instance t.nested_loop[8].foo2_inst.foo2_loop[0].foo_in_foo2_inst - t/t_generate_fatal_bad.v:8: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing - t/t_generate_fatal_bad.v:12: ... Called from get_baz() with parameters: +%Error: t/t_generate_fatal_bad.v:12:29: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz' + : ... In instance t.nested_loop[8].foo2_inst.foo2_loop[0].foo_in_foo2_inst + t/t_generate_fatal_bad.v:8:4: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing + t/t_generate_fatal_bad.v:12:29: ... Called from get_baz() with parameters: bar = 32'h8 localparam integer BAZ = get_baz(BAR); ^~~~~~~ -%Error: t/t_generate_fatal_bad.v:12: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz' - : ... In instance t.nested_loop[8].foo2_inst.foo2_loop[1].foo_in_foo2_inst - t/t_generate_fatal_bad.v:8: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing - t/t_generate_fatal_bad.v:12: ... Called from get_baz() with parameters: +%Error: t/t_generate_fatal_bad.v:12:29: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz' + : ... In instance t.nested_loop[8].foo2_inst.foo2_loop[1].foo_in_foo2_inst + t/t_generate_fatal_bad.v:8:4: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing + t/t_generate_fatal_bad.v:12:29: ... Called from get_baz() with parameters: bar = 32'h9 localparam integer BAZ = get_baz(BAR); ^~~~~~~ -%Error: t/t_generate_fatal_bad.v:12: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz' - : ... In instance t.nested_loop[10].foo2_inst.foo2_loop[0].foo_in_foo2_inst - t/t_generate_fatal_bad.v:8: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing - t/t_generate_fatal_bad.v:12: ... Called from get_baz() with parameters: +%Error: t/t_generate_fatal_bad.v:12:29: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz' + : ... In instance t.nested_loop[10].foo2_inst.foo2_loop[0].foo_in_foo2_inst + t/t_generate_fatal_bad.v:8:4: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing + t/t_generate_fatal_bad.v:12:29: ... Called from get_baz() with parameters: bar = 32'ha localparam integer BAZ = get_baz(BAR); ^~~~~~~ -%Error: t/t_generate_fatal_bad.v:12: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz' - : ... In instance t.nested_loop[10].foo2_inst.foo2_loop[1].foo_in_foo2_inst - t/t_generate_fatal_bad.v:8: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing - t/t_generate_fatal_bad.v:12: ... Called from get_baz() with parameters: +%Error: t/t_generate_fatal_bad.v:12:29: Expecting expression to be constant, but can't determine constant for FUNCREF 'get_baz' + : ... In instance t.nested_loop[10].foo2_inst.foo2_loop[1].foo_in_foo2_inst + t/t_generate_fatal_bad.v:8:4: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing + t/t_generate_fatal_bad.v:12:29: ... Called from get_baz() with parameters: bar = 32'hb localparam integer BAZ = get_baz(BAR); ^~~~~~~ diff --git a/test_regress/t/t_genvar_for_bad.out b/test_regress/t/t_genvar_for_bad.out index 33409bfd1..7c00800b3 100644 --- a/test_regress/t/t_genvar_for_bad.out +++ b/test_regress/t/t_genvar_for_bad.out @@ -1,5 +1,5 @@ -%Error: t/t_genvar_for_bad.v:22: Genvar not legal in non-generate for (IEEE 1800-2017 27.4): 't.i' - : ... Suggest move for loop upwards to generate-level scope. +%Error: t/t_genvar_for_bad.v:22:10: Genvar not legal in non-generate for (IEEE 1800-2017 27.4): 't.i' + : ... Suggest move for loop upwards to generate-level scope. for (i=0; i diff --git a/test_regress/t/t_interface_missing_bad.out b/test_regress/t/t_interface_missing_bad.out index 28c69c288..ef2e4665d 100644 --- a/test_regress/t/t_interface_missing_bad.out +++ b/test_regress/t/t_interface_missing_bad.out @@ -1,10 +1,10 @@ -%Error: t/t_interface_missing_bad.v:13: Cannot find file containing interface: 'foo_intf' +%Error: t/t_interface_missing_bad.v:13:4: Cannot find file containing interface: 'foo_intf' foo_intf foo ^~~~~~~~ -%Error: t/t_interface_missing_bad.v:19: Cannot find file containing interface: 'foo_intf' +%Error: t/t_interface_missing_bad.v:19:4: Cannot find file containing interface: 'foo_intf' foo_intf the_foo (); ^~~~~~~~ -%Error: t/t_interface_missing_bad.v:24: Found definition of 'the_foo' as a CELL but expected a variable +%Error: t/t_interface_missing_bad.v:24:15: Found definition of 'the_foo' as a CELL but expected a variable .foo (the_foo) ^~~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_interface_modport_bad.out b/test_regress/t/t_interface_modport_bad.out index 4491a623a..32cc43d98 100644 --- a/test_regress/t/t_interface_modport_bad.out +++ b/test_regress/t/t_interface_modport_bad.out @@ -1,5 +1,5 @@ -%Error: t/t_interface_modport_bad.v:22: Modport not found under interface 'ifc': 'oop_modport' - : ... Suggested alternative: 'out_modport' +%Error: t/t_interface_modport_bad.v:22:8: Modport not found under interface 'ifc': 'oop_modport' + : ... Suggested alternative: 'out_modport' ifc.oop_modport isub, ^~~~~~~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_interface_param_acc_bits.out b/test_regress/t/t_interface_param_acc_bits.out index a12c72cb8..985b45861 100644 --- a/test_regress/t/t_interface_param_acc_bits.out +++ b/test_regress/t/t_interface_param_acc_bits.out @@ -1,5 +1,5 @@ -%Error: t/t_interface_param_acc_bits.v:14: Parameter-resolved constants must not use dotted references: 'dummy' - : ... In instance t +%Error: t/t_interface_param_acc_bits.v:14:42: Parameter-resolved constants must not use dotted references: 'dummy' + : ... In instance t simple_bus #(.PARAMETER($bits(sb_intf.dummy))) simple(); ^~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_interface_param_another_bad.out b/test_regress/t/t_interface_param_another_bad.out index e7ba35f89..8cd7f65a9 100644 --- a/test_regress/t/t_interface_param_another_bad.out +++ b/test_regress/t/t_interface_param_another_bad.out @@ -1,5 +1,5 @@ -%Error: t/t_interface_param_another_bad.v:8: Parameter-resolved constants must not use dotted references: 'dummy' - : ... In instance t +%Error: t/t_interface_param_another_bad.v:8:42: Parameter-resolved constants must not use dotted references: 'dummy' + : ... In instance t simple_bus #(.PARAMETER($bits(sb_intf.dummy))) simple(); ^~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_interface_size_bad.out b/test_regress/t/t_interface_size_bad.out index 9a10d57ac..355bf14db 100644 --- a/test_regress/t/t_interface_size_bad.out +++ b/test_regress/t/t_interface_size_bad.out @@ -1,9 +1,9 @@ -%Error: t/t_interface_size_bad.v:15: Illegal port connection 'foo', mismatch between port which is an interface array of size 5, and expression which is an interface array of size 4. - : ... In instance t +%Error: t/t_interface_size_bad.v:15:20: Illegal port connection 'foo', mismatch between port which is an interface array of size 5, and expression which is an interface array of size 4. + : ... In instance t baz baz4_inst (.foo(foo4)); ^~~ -%Error: t/t_interface_size_bad.v:16: Illegal port connection 'foo', mismatch between port which is an interface array of size 5, and expression which is an interface array of size 6. - : ... In instance t +%Error: t/t_interface_size_bad.v:16:20: Illegal port connection 'foo', mismatch between port which is an interface array of size 5, and expression which is an interface array of size 6. + : ... In instance t baz baz6_inst (.foo(foo6)); ^~~ %Error: Exiting due to diff --git a/test_regress/t/t_interface_top_bad.out b/test_regress/t/t_interface_top_bad.out index adaecff1c..19b26344e 100644 --- a/test_regress/t/t_interface_top_bad.out +++ b/test_regress/t/t_interface_top_bad.out @@ -1,7 +1,7 @@ -%Error: t/t_interface_top_bad.v:16: Unsupported: Interfaced port on top level module +%Error: t/t_interface_top_bad.v:16:19: Unsupported: Interfaced port on top level module ifc.counter_mp c_data ^~~~~~ -%Error: t/t_interface_top_bad.v:16: Parent cell's interface is not found: 'ifc' +%Error: t/t_interface_top_bad.v:16:4: Parent cell's interface is not found: 'ifc' ifc.counter_mp c_data ^~~ %Error: Exiting due to diff --git a/test_regress/t/t_interface_typo_bad.out b/test_regress/t/t_interface_typo_bad.out index 2785a1329..c8ab0fddf 100644 --- a/test_regress/t/t_interface_typo_bad.out +++ b/test_regress/t/t_interface_typo_bad.out @@ -1,10 +1,10 @@ -%Error: t/t_interface_typo_bad.v:13: Parent cell's interface is not found: 'foo_intf' +%Error: t/t_interface_typo_bad.v:13:4: Parent cell's interface is not found: 'foo_intf' foo_intf foo ^~~~~~~~ -%Error: t/t_interface_typo_bad.v:21: Cannot find file containing interface: 'fo_intf' +%Error: t/t_interface_typo_bad.v:21:4: Cannot find file containing interface: 'fo_intf' fo_intf the_foo; ^~~~~~~ -%Error: t/t_interface_typo_bad.v:26: Found definition of 'the_foo' as a CELL but expected a variable +%Error: t/t_interface_typo_bad.v:26:15: Found definition of 'the_foo' as a CELL but expected a variable .foo (the_foo) ^~~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_interface_wrong_bad.out b/test_regress/t/t_interface_wrong_bad.out index ae327c4d9..92c83a9c3 100644 --- a/test_regress/t/t_interface_wrong_bad.out +++ b/test_regress/t/t_interface_wrong_bad.out @@ -1,5 +1,5 @@ -%Error: t/t_interface_wrong_bad.v:31: Port 'foo_port' expects 'foo_intf' interface but pin connects 'bar_intf' interface - : ... In instance t +%Error: t/t_interface_wrong_bad.v:31:8: Port 'foo_port' expects 'foo_intf' interface but pin connects 'bar_intf' interface + : ... In instance t .foo_port (bar) ^~~~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_lint_always_comb_bad.out b/test_regress/t/t_lint_always_comb_bad.out index cf3700947..d9545b61c 100644 --- a/test_regress/t/t_lint_always_comb_bad.out +++ b/test_regress/t/t_lint_always_comb_bad.out @@ -1,18 +1,18 @@ -%Error-PROCASSWIRE: t/t_lint_always_comb_bad.v:28: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'temp1' - : ... In instance t +%Error-PROCASSWIRE: t/t_lint_always_comb_bad.v:28:9: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'temp1' + : ... In instance t temp1 = 'h0; ^~~~~ -%Error-PROCASSWIRE: t/t_lint_always_comb_bad.v:30: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'temp1' - : ... In instance t +%Error-PROCASSWIRE: t/t_lint_always_comb_bad.v:30:9: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'temp1' + : ... In instance t temp1 = (temp1_d1r - 'h1); ^~~~~ -%Warning-ALWCOMBORDER: t/t_lint_always_comb_bad.v:31: Always_comb variable driven after use: 'mid' - : ... In instance t +%Warning-ALWCOMBORDER: t/t_lint_always_comb_bad.v:31:7: Always_comb variable driven after use: 'mid' + : ... In instance t mid = (temp1_d1r == 'h0); ^~~ ... Use "/* verilator lint_off ALWCOMBORDER */" and lint_on around source to disable this message. -%Error-PROCASSWIRE: t/t_lint_always_comb_bad.v:45: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'temp1_d1r' - : ... In instance t +%Error-PROCASSWIRE: t/t_lint_always_comb_bad.v:45:7: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'temp1_d1r' + : ... In instance t temp1_d1r <= temp1; ^~~~~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_lint_blksync_bad.out b/test_regress/t/t_lint_blksync_bad.out index 2ddeeabfe..c27361881 100644 --- a/test_regress/t/t_lint_blksync_bad.out +++ b/test_regress/t/t_lint_blksync_bad.out @@ -1,10 +1,10 @@ -%Warning-BLKSEQ: t/t_lint_blksync_bad.v:23: Blocking assignments (=) in sequential (flop or latch) block - : ... Suggest delayed assignments (<=) +%Warning-BLKSEQ: t/t_lint_blksync_bad.v:23:16: Blocking assignments (=) in sequential (flop or latch) block + : ... Suggest delayed assignments (<=) sync_blk = 1'b1; ^ ... Use "/* verilator lint_off BLKSEQ */" and lint_on around source to disable this message. -%Warning-COMBDLY: t/t_lint_blksync_bad.v:30: Delayed assignments (<=) in non-clocked (non flop or latch) block - : ... Suggest blocking assignments (=) +%Warning-COMBDLY: t/t_lint_blksync_bad.v:30:18: Delayed assignments (<=) in non-clocked (non flop or latch) block + : ... Suggest blocking assignments (=) combo_nblk <= 1'b1; ^~ *** See the manual before disabling this, diff --git a/test_regress/t/t_lint_bsspace_bad.out b/test_regress/t/t_lint_bsspace_bad.out index 2c79ad74b..0912f43cc 100644 --- a/test_regress/t/t_lint_bsspace_bad.out +++ b/test_regress/t/t_lint_bsspace_bad.out @@ -1,8 +1,8 @@ -%Warning-BSSPACE: t/t_lint_bsspace_bad.v:9: Backslash followed by whitespace, perhaps the whitespace is accidental? +%Warning-BSSPACE: t/t_lint_bsspace_bad.v:9:21: Backslash followed by whitespace, perhaps the whitespace is accidental? `define FOO blak \ ^ ... Use "/* verilator lint_off BSSPACE */" and lint_on around source to disable this message. -%Error: t/t_lint_bsspace_bad.v:10: syntax error, unexpected IDENTIFIER +%Error: t/t_lint_bsspace_bad.v:10:4: syntax error, unexpected IDENTIFIER blak ^~~~ %Error: Exiting due to diff --git a/test_regress/t/t_lint_colonplus_bad.out b/test_regress/t/t_lint_colonplus_bad.out index ff1ae2b56..ea5068edf 100644 --- a/test_regress/t/t_lint_colonplus_bad.out +++ b/test_regress/t/t_lint_colonplus_bad.out @@ -1,4 +1,4 @@ -%Warning-COLONPLUS: t/t_lint_colonplus_bad.v:12: Perhaps instead of ':+' the intent was '+:'? +%Warning-COLONPLUS: t/t_lint_colonplus_bad.v:12:25: Perhaps instead of ':+' the intent was '+:'? output [2:1] z = r[2 :+ 1]; ^~ ... Use "/* verilator lint_off COLONPLUS */" and lint_on around source to disable this message. diff --git a/test_regress/t/t_lint_comb_bad.out b/test_regress/t/t_lint_comb_bad.out index c1ef33d26..b1e824c64 100644 --- a/test_regress/t/t_lint_comb_bad.out +++ b/test_regress/t/t_lint_comb_bad.out @@ -1,4 +1,4 @@ -%Error: t/t_lint_comb_bad.v:13: syntax error, unexpected '@' +%Error: t/t_lint_comb_bad.v:13:16: syntax error, unexpected '@' always_comb @(*) begin ^ %Error: Cannot continue diff --git a/test_regress/t/t_lint_declfilename_bad.out b/test_regress/t/t_lint_declfilename_bad.out index 32edff12e..5ba44a461 100644 --- a/test_regress/t/t_lint_declfilename_bad.out +++ b/test_regress/t/t_lint_declfilename_bad.out @@ -1,4 +1,4 @@ -%Warning-DECLFILENAME: t/t_lint_declfilename.v:6: Filename 't_lint_declfilename' does not match MODULE name: 't' +%Warning-DECLFILENAME: t/t_lint_declfilename.v:6:8: Filename 't_lint_declfilename' does not match MODULE name: 't' module t; ^ ... Use "/* verilator lint_off DECLFILENAME */" and lint_on around source to disable this message. diff --git a/test_regress/t/t_lint_defparam_bad.out b/test_regress/t/t_lint_defparam_bad.out index 3b5105e5e..2c1d59714 100644 --- a/test_regress/t/t_lint_defparam_bad.out +++ b/test_regress/t/t_lint_defparam_bad.out @@ -1,4 +1,4 @@ -%Warning-DEFPARAM: t/t_lint_defparam.v:9: Suggest replace defparam assignment with Verilog 2001 #(.P(...etc...)) +%Warning-DEFPARAM: t/t_lint_defparam.v:9:19: Suggest replace defparam assignment with Verilog 2001 #(.P(...etc...)) defparam sub.P = 2; ^ ... Use "/* verilator lint_off DEFPARAM */" and lint_on around source to disable this message. diff --git a/test_regress/t/t_lint_ifdepth_bad.out b/test_regress/t/t_lint_ifdepth_bad.out index 80c7d5b67..cd2072fb1 100644 --- a/test_regress/t/t_lint_ifdepth_bad.out +++ b/test_regress/t/t_lint_ifdepth_bad.out @@ -1,5 +1,5 @@ -%Warning-IFDEPTH: t/t_lint_ifdepth_bad.v:21: Deep 'if' statement; suggest unique/priority to avoid slow logic - : ... In instance t +%Warning-IFDEPTH: t/t_lint_ifdepth_bad.v:21:12: Deep 'if' statement; suggest unique/priority to avoid slow logic + : ... In instance t else if (value==11) begin end ^~ ... Use "/* verilator lint_off IFDEPTH */" and lint_on around source to disable this message. diff --git a/test_regress/t/t_lint_implicit_bad.out b/test_regress/t/t_lint_implicit_bad.out index ac6800b5f..b1fc75576 100644 --- a/test_regress/t/t_lint_implicit_bad.out +++ b/test_regress/t/t_lint_implicit_bad.out @@ -1,16 +1,16 @@ -%Warning-IMPLICIT: t/t_lint_implicit.v:10: Signal definition not found, creating implicitly: 'b' +%Warning-IMPLICIT: t/t_lint_implicit.v:10:11: Signal definition not found, creating implicitly: 'b' assign b = 1'b1; ^ ... Use "/* verilator lint_off IMPLICIT */" and lint_on around source to disable this message. -%Warning-IMPLICIT: t/t_lint_implicit.v:12: Signal definition not found, creating implicitly: 'nt0' +%Warning-IMPLICIT: t/t_lint_implicit.v:12:14: Signal definition not found, creating implicitly: 'nt0' or OR0 (nt0, a, b); ^~~ -%Warning-IMPLICIT: t/t_lint_implicit.v:15: Signal definition not found, creating implicitly: 'dummy1' - : ... Suggested alternative: 'dummy_ip' +%Warning-IMPLICIT: t/t_lint_implicit.v:15:12: Signal definition not found, creating implicitly: 'dummy1' + : ... Suggested alternative: 'dummy_ip' assign {dummy1, dummy2} = dummy_ip; ^~~~~~ -%Warning-IMPLICIT: t/t_lint_implicit.v:15: Signal definition not found, creating implicitly: 'dummy2' - : ... Suggested alternative: 'dummy1' +%Warning-IMPLICIT: t/t_lint_implicit.v:15:20: Signal definition not found, creating implicitly: 'dummy2' + : ... Suggested alternative: 'dummy1' assign {dummy1, dummy2} = dummy_ip; ^~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_lint_implicit_def_bad.out b/test_regress/t/t_lint_implicit_def_bad.out index b9b0185e0..7fdff578e 100644 --- a/test_regress/t/t_lint_implicit_def_bad.out +++ b/test_regress/t/t_lint_implicit_def_bad.out @@ -1,8 +1,8 @@ -%Warning-IMPLICIT: t/t_lint_implicit_def_bad.v:12: Signal definition not found, creating implicitly: 'imp_warn' +%Warning-IMPLICIT: t/t_lint_implicit_def_bad.v:12:11: Signal definition not found, creating implicitly: 'imp_warn' assign imp_warn = 1'b1; ^~~~~~~~ ... Use "/* verilator lint_off IMPLICIT */" and lint_on around source to disable this message. -%Error: t/t_lint_implicit_def_bad.v:17: Signal definition not found, and implicit disabled with `default_nettype: 'imp_err' +%Error: t/t_lint_implicit_def_bad.v:17:11: Signal definition not found, and implicit disabled with `default_nettype: 'imp_err' assign imp_err = 1'b1; ^~~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_lint_import_name_bad.out b/test_regress/t/t_lint_import_name_bad.out index c790c7bb0..ab4bc96a7 100644 --- a/test_regress/t/t_lint_import_name_bad.out +++ b/test_regress/t/t_lint_import_name_bad.out @@ -1,4 +1,4 @@ -%Error: t/t_lint_import_name_bad.v:10: Import object not found: 'defs::sigs' +%Error: t/t_lint_import_name_bad.v:10:12: Import object not found: 'defs::sigs' import defs::sigs; ^~ %Error: Exiting due to diff --git a/test_regress/t/t_lint_importstar_bad.out b/test_regress/t/t_lint_importstar_bad.out index c75019b5b..84a352fac 100644 --- a/test_regress/t/t_lint_importstar_bad.out +++ b/test_regress/t/t_lint_importstar_bad.out @@ -1,4 +1,4 @@ -%Warning-IMPORTSTAR: t/t_lint_importstar_bad.v:10: Import::* in $unit scope may pollute global namespace +%Warning-IMPORTSTAR: t/t_lint_importstar_bad.v:10:12: Import::* in $unit scope may pollute global namespace import defs::*; ^~ ... Use "/* verilator lint_off IMPORTSTAR */" and lint_on around source to disable this message. diff --git a/test_regress/t/t_lint_in_inc_bad.out b/test_regress/t/t_lint_in_inc_bad.out index ce1771397..e62d068ba 100644 --- a/test_regress/t/t_lint_in_inc_bad.out +++ b/test_regress/t/t_lint_in_inc_bad.out @@ -1,6 +1,6 @@ -%Error: t/t_lint_in_inc_bad_2.vh:8: syntax error, unexpected if, expecting '(' +%Error: t/t_lint_in_inc_bad_2.vh:8:7: syntax error, unexpected if, expecting '(' if if if; ^~ - t/t_lint_in_inc_bad_1.vh:7: ... note: In file included from t_lint_in_inc_bad_1.vh - t/t_lint_in_inc_bad.v:7: ... note: In file included from t_lint_in_inc_bad.v + t/t_lint_in_inc_bad_1.vh:7:1: ... note: In file included from t_lint_in_inc_bad_1.vh + t/t_lint_in_inc_bad.v:7:1: ... note: In file included from t_lint_in_inc_bad.v %Error: Exiting due to diff --git a/test_regress/t/t_lint_incabspath_bad.out b/test_regress/t/t_lint_incabspath_bad.out index b2af30de9..5a8f79e7c 100644 --- a/test_regress/t/t_lint_incabspath_bad.out +++ b/test_regress/t/t_lint_incabspath_bad.out @@ -1,4 +1,4 @@ -%Warning-INCABSPATH: t/t_lint_incabspath.v:6: Suggest `include with absolute path be made relative, and use +include: /dev/null +%Warning-INCABSPATH: t/t_lint_incabspath.v:6:10: Suggest `include with absolute path be made relative, and use +include: /dev/null `include "/dev/null" ^~~~~~~~~~~ ... Use "/* verilator lint_off INCABSPATH */" and lint_on around source to disable this message. diff --git a/test_regress/t/t_lint_infinite.out b/test_regress/t/t_lint_infinite.out index 5172274a1..f42ad89ac 100644 --- a/test_regress/t/t_lint_infinite.out +++ b/test_regress/t/t_lint_infinite.out @@ -1,10 +1,10 @@ -%Warning-INFINITELOOP: t/t_lint_infinite.v:9: Infinite loop (condition always true) - : ... In instance t +%Warning-INFINITELOOP: t/t_lint_infinite.v:9:7: Infinite loop (condition always true) + : ... In instance t forever begin end ^~~~~~~ ... Use "/* verilator lint_off INFINITELOOP */" and lint_on around source to disable this message. -%Warning-INFINITELOOP: t/t_lint_infinite.v:11: Infinite loop (condition always true) - : ... In instance t +%Warning-INFINITELOOP: t/t_lint_infinite.v:11:7: Infinite loop (condition always true) + : ... In instance t for (reg [31:0] i=0; i>=0; i=i+1) begin end ^~~ %Error: Exiting due to diff --git a/test_regress/t/t_lint_input_eq_bad.out b/test_regress/t/t_lint_input_eq_bad.out index c45d62534..aebbd2cff 100644 --- a/test_regress/t/t_lint_input_eq_bad.out +++ b/test_regress/t/t_lint_input_eq_bad.out @@ -1,4 +1,4 @@ -%Error: t/t_lint_input_eq_bad.v:9: Unsupported: Default value on module input: 'i2' +%Error: t/t_lint_input_eq_bad.v:9:15: Unsupported: Default value on module input: 'i2' input wire i2 = i ^~ %Error: Exiting due to diff --git a/test_regress/t/t_lint_latch_bad.out b/test_regress/t/t_lint_latch_bad.out index 9334c03d7..ae6774d19 100644 --- a/test_regress/t/t_lint_latch_bad.out +++ b/test_regress/t/t_lint_latch_bad.out @@ -1,5 +1,5 @@ -%Warning-COMBDLY: t/t_lint_latch_bad.v:24: Delayed assignments (<=) in non-clocked (non flop or latch) block - : ... Suggest blocking assignments (=) +%Warning-COMBDLY: t/t_lint_latch_bad.v:24:10: Delayed assignments (<=) in non-clocked (non flop or latch) block + : ... Suggest blocking assignments (=) bc <= a; ^~ ... Use "/* verilator lint_off COMBDLY */" and lint_on around source to disable this message. diff --git a/test_regress/t/t_lint_literal_bad.out b/test_regress/t/t_lint_literal_bad.out index 9ed1d4c3e..1f029f75c 100644 --- a/test_regress/t/t_lint_literal_bad.out +++ b/test_regress/t/t_lint_literal_bad.out @@ -1,4 +1,4 @@ -%Warning-WIDTH: t/t_lint_literal_bad.v:9: Value too large for 8 bit number: 256 +%Warning-WIDTH: t/t_lint_literal_bad.v:9:33: Value too large for 8 bit number: 256 localparam the_localparam = 8'd256; ^~~~~~ ... Use "/* verilator lint_off WIDTH */" and lint_on around source to disable this message. diff --git a/test_regress/t/t_lint_mod_paren_bad.out b/test_regress/t/t_lint_mod_paren_bad.out index f7783f03d..2df2b865c 100644 --- a/test_regress/t/t_lint_mod_paren_bad.out +++ b/test_regress/t/t_lint_mod_paren_bad.out @@ -1,4 +1,4 @@ -%Error: t/t_lint_mod_paren_bad.v:13: syntax error, unexpected '(', expecting ';' +%Error: t/t_lint_mod_paren_bad.v:13:7: syntax error, unexpected '(', expecting ';' output bar ^~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_lint_modport_dir_bad.out b/test_regress/t/t_lint_modport_dir_bad.out index 7aaa7a6e0..bb4c8fb37 100644 --- a/test_regress/t/t_lint_modport_dir_bad.out +++ b/test_regress/t/t_lint_modport_dir_bad.out @@ -1,5 +1,5 @@ -%Error: t/t_lint_modport_dir_bad.v:25: Attempt to drive input-only modport: 'signal' - : ... In instance t.sub +%Error: t/t_lint_modport_dir_bad.v:25:20: Attempt to drive input-only modport: 'signal' + : ... In instance t.sub assign dummy_in.signal = signal_i; ^~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_lint_multidriven_bad.out b/test_regress/t/t_lint_multidriven_bad.out index 4503dc79c..1cf240a50 100644 --- a/test_regress/t/t_lint_multidriven_bad.out +++ b/test_regress/t/t_lint_multidriven_bad.out @@ -1,16 +1,16 @@ -%Warning-MULTIDRIVEN: t/t_lint_multidriven_bad.v:20: Signal has multiple driving blocks with different clocking: 't.mem' - t/t_lint_multidriven_bad.v:26: ... Location of first driving block +%Warning-MULTIDRIVEN: t/t_lint_multidriven_bad.v:20:22: Signal has multiple driving blocks with different clocking: 't.mem' + t/t_lint_multidriven_bad.v:26:7: ... Location of first driving block mem[a0] <= d1; ^~~ - t/t_lint_multidriven_bad.v:23: ... Location of other driving block + t/t_lint_multidriven_bad.v:23:7: ... Location of other driving block mem[a0] <= d0; ^~~ ... Use "/* verilator lint_off MULTIDRIVEN */" and lint_on around source to disable this message. -%Warning-MULTIDRIVEN: t/t_lint_multidriven_bad.v:18: Signal has multiple driving blocks with different clocking: 'out2' - t/t_lint_multidriven_bad.v:34: ... Location of first driving block +%Warning-MULTIDRIVEN: t/t_lint_multidriven_bad.v:18:22: Signal has multiple driving blocks with different clocking: 'out2' + t/t_lint_multidriven_bad.v:34:7: ... Location of first driving block out2[15:8] <= d0; ^~~~ - t/t_lint_multidriven_bad.v:31: ... Location of other driving block + t/t_lint_multidriven_bad.v:31:7: ... Location of other driving block out2[7:0] <= d0; ^~~~ %Error: Exiting due to diff --git a/test_regress/t/t_lint_once_bad.out b/test_regress/t/t_lint_once_bad.out index 84e6e164d..a6c65f219 100644 --- a/test_regress/t/t_lint_once_bad.out +++ b/test_regress/t/t_lint_once_bad.out @@ -1,10 +1,10 @@ -%Warning-UNUSED: t/t_lint_once_bad.v:18: Signal is not driven, nor used: 'unus1' - : ... In instance t.sub3 +%Warning-UNUSED: t/t_lint_once_bad.v:18:14: Signal is not driven, nor used: 'unus1' + : ... In instance t.sub3 reg [A:0] unus1; reg [A:0] unus2; ^~~~~ ... Use "/* verilator lint_off UNUSED */" and lint_on around source to disable this message. -%Warning-UNUSED: t/t_lint_once_bad.v:18: Signal is not driven, nor used: 'unus2' - : ... In instance t.sub3 +%Warning-UNUSED: t/t_lint_once_bad.v:18:34: Signal is not driven, nor used: 'unus2' + : ... In instance t.sub3 reg [A:0] unus1; reg [A:0] unus2; ^~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_lint_pindup_bad.out b/test_regress/t/t_lint_pindup_bad.out index 3769a5e47..b5ad389b2 100644 --- a/test_regress/t/t_lint_pindup_bad.out +++ b/test_regress/t/t_lint_pindup_bad.out @@ -1,31 +1,31 @@ -%Warning-PINMISSING: t/t_lint_pindup_bad.v:18: Cell has missing pin: 'exists' +%Warning-PINMISSING: t/t_lint_pindup_bad.v:18:4: Cell has missing pin: 'exists' sub (.o(o), ^~~ ... Use "/* verilator lint_off PINMISSING */" and lint_on around source to disable this message. -%Error: t/t_lint_pindup_bad.v:20: Duplicate pin connection: 'i' +%Error: t/t_lint_pindup_bad.v:20:10: Duplicate pin connection: 'i' .i(i2), ^ - t/t_lint_pindup_bad.v:19: ... Location of original pin connection + t/t_lint_pindup_bad.v:19:10: ... Location of original pin connection .i(i), ^ -%Error: t/t_lint_pindup_bad.v:21: Pin not found: 'nexist' - : ... Suggested alternative: 'exists' +%Error: t/t_lint_pindup_bad.v:21:10: Pin not found: 'nexist' + : ... Suggested alternative: 'exists' .nexist(i2) ^~~~~~ -%Error: t/t_lint_pindup_bad.v:15: Parameter pin not found: 'NEXIST' - : ... Suggested alternative: 'EXIST' +%Error: t/t_lint_pindup_bad.v:15:9: Parameter pin not found: 'NEXIST' + : ... Suggested alternative: 'EXIST' .NEXIST(1), ^~~~~~ -%Error: t/t_lint_pindup_bad.v:16: Duplicate parameter pin connection: 'P' +%Error: t/t_lint_pindup_bad.v:16:9: Duplicate parameter pin connection: 'P' .P(2), ^ - t/t_lint_pindup_bad.v:14: ... Location of original parameter pin connection + t/t_lint_pindup_bad.v:14:8: ... Location of original parameter pin connection #(, ^ -%Error: t/t_lint_pindup_bad.v:17: Duplicate parameter pin connection: 'P' +%Error: t/t_lint_pindup_bad.v:17:9: Duplicate parameter pin connection: 'P' .P(3)) ^ - t/t_lint_pindup_bad.v:14: ... Location of original parameter pin connection + t/t_lint_pindup_bad.v:14:8: ... Location of original parameter pin connection #(, ^ %Error: Exiting due to diff --git a/test_regress/t/t_lint_pkg_colon_bad.out b/test_regress/t/t_lint_pkg_colon_bad.out index 3ba969bcd..13952c54e 100644 --- a/test_regress/t/t_lint_pkg_colon_bad.out +++ b/test_regress/t/t_lint_pkg_colon_bad.out @@ -1,8 +1,8 @@ -%Error: t/t_lint_pkg_colon_bad.v:6: syntax error, unexpected ::, expecting ')' or ',' +%Error: t/t_lint_pkg_colon_bad.v:6:23: syntax error, unexpected ::, expecting ')' or ',' module t (input mispkg::foo_t a); ^~ - : ... Perhaps 'mispkg' is a package which needs to be predeclared? (IEEE 1800-2017 26.3) -%Error: t/t_lint_pkg_colon_bad.v:7: syntax error, unexpected ::, expecting ',' or ';' + : ... Perhaps 'mispkg' is a package which needs to be predeclared? (IEEE 1800-2017 26.3) +%Error: t/t_lint_pkg_colon_bad.v:7:15: syntax error, unexpected ::, expecting ',' or ';' reg mispkgb::bar_t b; ^~ %Error: Exiting due to diff --git a/test_regress/t/t_lint_realcvt_bad.out b/test_regress/t/t_lint_realcvt_bad.out index a41da1e6f..a0345f140 100644 --- a/test_regress/t/t_lint_realcvt_bad.out +++ b/test_regress/t/t_lint_realcvt_bad.out @@ -1,4 +1,4 @@ -%Warning-REALCVT: t/t_lint_realcvt_bad.v:9: Implicit conversion of real to integer +%Warning-REALCVT: t/t_lint_realcvt_bad.v:9:11: Implicit conversion of real to integer i = 23.2; ^~~~ ... Use "/* verilator lint_off REALCVT */" and lint_on around source to disable this message. diff --git a/test_regress/t/t_lint_repeat_bad.out b/test_regress/t/t_lint_repeat_bad.out index 49bfe10d6..8d50d2589 100644 --- a/test_regress/t/t_lint_repeat_bad.out +++ b/test_regress/t/t_lint_repeat_bad.out @@ -1,5 +1,5 @@ -%Warning-WIDTH: t/t_lint_repeat_bad.v:17: Operator ASSIGNW expects 1 bits on the Assign RHS, but Assign RHS's VARREF 'a' generates 2 bits. - : ... In instance t.sub2 +%Warning-WIDTH: t/t_lint_repeat_bad.v:17:17: Operator ASSIGNW expects 1 bits on the Assign RHS, but Assign RHS's VARREF 'a' generates 2 bits. + : ... In instance t.sub2 wire [0:0] b = a; ^ ... Use "/* verilator lint_off WIDTH */" and lint_on around source to disable this message. diff --git a/test_regress/t/t_lint_restore_bad.out b/test_regress/t/t_lint_restore_bad.out index e6def6ca1..167f1f7a0 100644 --- a/test_regress/t/t_lint_restore_bad.out +++ b/test_regress/t/t_lint_restore_bad.out @@ -1,5 +1,5 @@ -%Warning-WIDTH: t/t_lint_restore_bad.v:18: Operator ASSIGN expects 5 bits on the Assign RHS, but Assign RHS's CONST '64'h1' generates 64 bits. - : ... In instance t +%Warning-WIDTH: t/t_lint_restore_bad.v:18:17: Operator ASSIGN expects 5 bits on the Assign RHS, but Assign RHS's CONST '64'h1' generates 64 bits. + : ... In instance t initial five = 64'h1; ^ ... Use "/* verilator lint_off WIDTH */" and lint_on around source to disable this message. diff --git a/test_regress/t/t_lint_rsvd_bad.out b/test_regress/t/t_lint_rsvd_bad.out index 8f947efdb..b4dce2469 100644 --- a/test_regress/t/t_lint_rsvd_bad.out +++ b/test_regress/t/t_lint_rsvd_bad.out @@ -1,10 +1,10 @@ -%Error: t/t_lint_rsvd_bad.v:6: Unsupported: Verilog 2001-config reserved word not implemented: 'config' +%Error: t/t_lint_rsvd_bad.v:6:1: Unsupported: Verilog 2001-config reserved word not implemented: 'config' config cfgBad; ^~~~~~ -%Error: t/t_lint_rsvd_bad.v:6: syntax error, unexpected IDENTIFIER +%Error: t/t_lint_rsvd_bad.v:6:8: syntax error, unexpected IDENTIFIER config cfgBad; ^~~~~~ -%Error: t/t_lint_rsvd_bad.v:7: Unsupported: Verilog 2001-config reserved word not implemented: 'endconfig' +%Error: t/t_lint_rsvd_bad.v:7:1: Unsupported: Verilog 2001-config reserved word not implemented: 'endconfig' endconfig ^~~~~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_lint_setout_bad.out b/test_regress/t/t_lint_setout_bad.out index 747b17c07..b25e2cfe9 100644 --- a/test_regress/t/t_lint_setout_bad.out +++ b/test_regress/t/t_lint_setout_bad.out @@ -1,5 +1,5 @@ -%Error-PORTSHORT: t/t_lint_setout_bad.v:16: Output port is connected to a constant pin, electrical short - : ... In instance t +%Error-PORTSHORT: t/t_lint_setout_bad.v:16:8: Output port is connected to a constant pin, electrical short + : ... In instance t .cpu_if_timeout(1'b0) ^~~~~~~~~~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_lint_setout_bad_noinl.out b/test_regress/t/t_lint_setout_bad_noinl.out index 747b17c07..b25e2cfe9 100644 --- a/test_regress/t/t_lint_setout_bad_noinl.out +++ b/test_regress/t/t_lint_setout_bad_noinl.out @@ -1,5 +1,5 @@ -%Error-PORTSHORT: t/t_lint_setout_bad.v:16: Output port is connected to a constant pin, electrical short - : ... In instance t +%Error-PORTSHORT: t/t_lint_setout_bad.v:16:8: Output port is connected to a constant pin, electrical short + : ... In instance t .cpu_if_timeout(1'b0) ^~~~~~~~~~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_lint_subout_bad.out b/test_regress/t/t_lint_subout_bad.out index 9510845f0..6735b0455 100644 --- a/test_regress/t/t_lint_subout_bad.out +++ b/test_regress/t/t_lint_subout_bad.out @@ -1,13 +1,13 @@ -%Error-PORTSHORT: t/t_lint_subout_bad.v:11: Output port is connected to a constant pin, electrical short - : ... In instance t +%Error-PORTSHORT: t/t_lint_subout_bad.v:11:14: Output port is connected to a constant pin, electrical short + : ... In instance t sub sub1(.out({32'b0, sig})); ^~~ -%Error-PORTSHORT: t/t_lint_subout_bad.v:12: Output port is connected to a constant pin, electrical short - : ... In instance t +%Error-PORTSHORT: t/t_lint_subout_bad.v:12:14: Output port is connected to a constant pin, electrical short + : ... In instance t sub sub2(.out({32'b1, sig})); ^~~ -%Error-PORTSHORT: t/t_lint_subout_bad.v:10: Output port is connected to a constant pin, electrical short - : ... In instance t +%Error-PORTSHORT: t/t_lint_subout_bad.v:10:14: Output port is connected to a constant pin, electrical short + : ... In instance t sub sub0(.out(33'b0)); ^~~ %Error: Exiting due to diff --git a/test_regress/t/t_lint_syncasyncnet_bad.out b/test_regress/t/t_lint_syncasyncnet_bad.out index 8ca9a32da..1b09e5321 100644 --- a/test_regress/t/t_lint_syncasyncnet_bad.out +++ b/test_regress/t/t_lint_syncasyncnet_bad.out @@ -1,8 +1,8 @@ -%Warning-SYNCASYNCNET: t/t_lint_syncasyncnet_bad.v:15: Signal flopped as both synchronous and async: 'rst_both_l' - t/t_lint_syncasyncnet_bad.v:90: ... Location of async usage +%Warning-SYNCASYNCNET: t/t_lint_syncasyncnet_bad.v:15:25: Signal flopped as both synchronous and async: 'rst_both_l' + t/t_lint_syncasyncnet_bad.v:90:15: ... Location of async usage q2 <= (~rst_both_l) ? 1'b0 : d; ^~~~~~~~~~ - t/t_lint_syncasyncnet_bad.v:58: ... Location of sync usage + t/t_lint_syncasyncnet_bad.v:58:14: ... Location of sync usage q2 <= (rst_both_l) ? d : 1'b0; ^~~~~~~~~~ ... Use "/* verilator lint_off SYNCASYNCNET */" and lint_on around source to disable this message. diff --git a/test_regress/t/t_lint_unsized_bad.out b/test_regress/t/t_lint_unsized_bad.out index 11b16446c..8eff3eb2d 100644 --- a/test_regress/t/t_lint_unsized_bad.out +++ b/test_regress/t/t_lint_unsized_bad.out @@ -1,4 +1,4 @@ -%Error: t/t_lint_unsized_bad.v:7: Too many digits for 32 bit number: 'd123456789123456789123456789 +%Error: t/t_lint_unsized_bad.v:7:22: Too many digits for 32 bit number: 'd123456789123456789123456789 ... As that number was unsized ('d...) it is limited to 32 bits (IEEE 1800-2017 5.7.1) ... Suggest adding a size to it. bit [256:0] num = 'd123456789123456789123456789; diff --git a/test_regress/t/t_lint_unused_bad.out b/test_regress/t/t_lint_unused_bad.out index 1d5a61c0f..f19c3a8e4 100644 --- a/test_regress/t/t_lint_unused_bad.out +++ b/test_regress/t/t_lint_unused_bad.out @@ -1,26 +1,26 @@ -%Warning-UNUSED: t/t_lint_unused_bad.v:16: Bits of signal are not used: 'assunu1'[5:1] - : ... In instance t.sub +%Warning-UNUSED: t/t_lint_unused_bad.v:16:15: Bits of signal are not used: 'assunu1'[5:1] + : ... In instance t.sub wire [5:0] assunu1 = 0; ^~~~~~~ ... Use "/* verilator lint_off UNUSED */" and lint_on around source to disable this message. -%Warning-UNDRIVEN: t/t_lint_unused_bad.v:20: Bits of signal are not driven: 'udrb2'[14:13,11] - : ... In instance t.sub +%Warning-UNDRIVEN: t/t_lint_unused_bad.v:20:17: Bits of signal are not driven: 'udrb2'[14:13,11] + : ... In instance t.sub wire [15:10] udrb2; ^~~~~ -%Warning-UNUSED: t/t_lint_unused_bad.v:25: Signal is not driven, nor used: 'unu3' - : ... In instance t.sub +%Warning-UNUSED: t/t_lint_unused_bad.v:25:15: Signal is not driven, nor used: 'unu3' + : ... In instance t.sub wire unu3; ^~~~ -%Warning-UNUSED: t/t_lint_unused_bad.v:27: Bits of signal are not driven, nor used: 'mixed'[3] - : ... In instance t.sub +%Warning-UNUSED: t/t_lint_unused_bad.v:27:15: Bits of signal are not driven, nor used: 'mixed'[3] + : ... In instance t.sub wire [3:0] mixed; ^~~~~ -%Warning-UNUSED: t/t_lint_unused_bad.v:27: Bits of signal are not used: 'mixed'[2] - : ... In instance t.sub +%Warning-UNUSED: t/t_lint_unused_bad.v:27:15: Bits of signal are not used: 'mixed'[2] + : ... In instance t.sub wire [3:0] mixed; ^~~~~ -%Warning-UNDRIVEN: t/t_lint_unused_bad.v:27: Bits of signal are not driven: 'mixed'[1] - : ... In instance t.sub +%Warning-UNDRIVEN: t/t_lint_unused_bad.v:27:15: Bits of signal are not driven: 'mixed'[1] + : ... In instance t.sub wire [3:0] mixed; ^~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_lint_unused_iface_bad.out b/test_regress/t/t_lint_unused_iface_bad.out index c8bbd21d5..d6f05cdc6 100644 --- a/test_regress/t/t_lint_unused_iface_bad.out +++ b/test_regress/t/t_lint_unused_iface_bad.out @@ -1,10 +1,10 @@ -%Warning-UNDRIVEN: t/t_lint_unused_iface_bad.v:7: Signal is not driven: 'sig_udrv' - : ... In instance t.sub +%Warning-UNDRIVEN: t/t_lint_unused_iface_bad.v:7:10: Signal is not driven: 'sig_udrv' + : ... In instance t.sub logic sig_udrv; ^~~~~~~~ ... Use "/* verilator lint_off UNDRIVEN */" and lint_on around source to disable this message. -%Warning-UNUSED: t/t_lint_unused_iface_bad.v:8: Signal is not used: 'sig_uusd' - : ... In instance t.sub +%Warning-UNUSED: t/t_lint_unused_iface_bad.v:8:10: Signal is not used: 'sig_uusd' + : ... In instance t.sub logic sig_uusd; ^~~~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_lint_vcmarker_bad.out b/test_regress/t/t_lint_vcmarker_bad.out index 32354dc42..984fa792e 100644 --- a/test_regress/t/t_lint_vcmarker_bad.out +++ b/test_regress/t/t_lint_vcmarker_bad.out @@ -1,10 +1,10 @@ -%Error: t/t_lint_vcmarker_bad.v:8: version control conflict marker in file +%Error: t/t_lint_vcmarker_bad.v:8:1: version control conflict marker in file <<<<<<< HEAD ^~~~~~~~~~~~~~~ -%Error: t/t_lint_vcmarker_bad.v:10: version control conflict marker in file +%Error: t/t_lint_vcmarker_bad.v:10:1: version control conflict marker in file ======= ^~~~~~~~~~~ -%Error: t/t_lint_vcmarker_bad.v:12: version control conflict marker in file +%Error: t/t_lint_vcmarker_bad.v:12:1: version control conflict marker in file >>>>>>> MERGE ^~~~~~~~~~~~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_lint_width_bad.out b/test_regress/t/t_lint_width_bad.out index e3fde1e3a..de16cfb23 100644 --- a/test_regress/t/t_lint_width_bad.out +++ b/test_regress/t/t_lint_width_bad.out @@ -1,42 +1,42 @@ -%Warning-WIDTH: t/t_lint_width_bad.v:16: Operator VAR 'XS' expects 4 bits on the Initial value, but Initial value's CONST '?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx' generates 32 bits. - : ... In instance t +%Warning-WIDTH: t/t_lint_width_bad.v:16:25: Operator VAR 'XS' expects 4 bits on the Initial value, but Initial value's CONST '?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx' generates 32 bits. + : ... In instance t localparam [3:0] XS = 'hx; ^~ ... Use "/* verilator lint_off WIDTH */" and lint_on around source to disable this message. -%Warning-WIDTH: t/t_lint_width_bad.v:44: Operator ASSIGNW expects 5 bits on the Assign RHS, but Assign RHS's VARREF 'in' generates 4 bits. - : ... In instance t.p4 +%Warning-WIDTH: t/t_lint_width_bad.v:44:19: Operator ASSIGNW expects 5 bits on the Assign RHS, but Assign RHS's VARREF 'in' generates 4 bits. + : ... In instance t.p4 wire [4:0] out = in; ^ -%Warning-WIDTH: t/t_lint_width_bad.v:20: Operator SHIFTL expects 5 bits on the LHS, but LHS's CONST '1'h1' generates 1 bits. - : ... In instance t +%Warning-WIDTH: t/t_lint_width_bad.v:20:25: Operator SHIFTL expects 5 bits on the LHS, but LHS's CONST '1'h1' generates 1 bits. + : ... In instance t wire [4:0] d = (1'b1 << 2) + 5'b1; ^~ -%Warning-WIDTH: t/t_lint_width_bad.v:26: Operator ASSIGNW expects 6 bits on the Assign RHS, but Assign RHS's SHIFTL generates 7 bits. - : ... In instance t +%Warning-WIDTH: t/t_lint_width_bad.v:26:32: Operator ASSIGNW expects 6 bits on the Assign RHS, but Assign RHS's SHIFTL generates 7 bits. + : ... In instance t wire [WIDTH-1:0] masked = (({{(WIDTH){1'b0}}, one_bit}) << shifter); ^ -%Warning-WIDTH: t/t_lint_width_bad.v:31: Operator ADD expects 3 bits on the LHS, but LHS's VARREF 'one' generates 1 bits. - : ... In instance t +%Warning-WIDTH: t/t_lint_width_bad.v:31:37: Operator ADD expects 3 bits on the LHS, but LHS's VARREF 'one' generates 1 bits. + : ... In instance t wire [2:0] cnt = (one + one + one + one); ^ -%Warning-WIDTH: t/t_lint_width_bad.v:31: Operator ADD expects 3 bits on the RHS, but RHS's VARREF 'one' generates 1 bits. - : ... In instance t +%Warning-WIDTH: t/t_lint_width_bad.v:31:37: Operator ADD expects 3 bits on the RHS, but RHS's VARREF 'one' generates 1 bits. + : ... In instance t wire [2:0] cnt = (one + one + one + one); ^ -%Warning-WIDTH: t/t_lint_width_bad.v:31: Operator ADD expects 3 bits on the RHS, but RHS's VARREF 'one' generates 1 bits. - : ... In instance t +%Warning-WIDTH: t/t_lint_width_bad.v:31:43: Operator ADD expects 3 bits on the RHS, but RHS's VARREF 'one' generates 1 bits. + : ... In instance t wire [2:0] cnt = (one + one + one + one); ^ -%Warning-WIDTH: t/t_lint_width_bad.v:31: Operator ADD expects 3 bits on the RHS, but RHS's VARREF 'one' generates 1 bits. - : ... In instance t +%Warning-WIDTH: t/t_lint_width_bad.v:31:49: Operator ADD expects 3 bits on the RHS, but RHS's VARREF 'one' generates 1 bits. + : ... In instance t wire [2:0] cnt = (one + one + one + one); ^ -%Warning-WIDTH: t/t_lint_width_bad.v:36: Operator GT expects 41 bits on the LHS, but LHS's VARREF 'a' generates 32 bits. - : ... In instance t +%Warning-WIDTH: t/t_lint_width_bad.v:36:26: Operator GT expects 41 bits on the LHS, but LHS's VARREF 'a' generates 32 bits. + : ... In instance t initial for (a = 0; a > THREE; ++a) $display(a); ^ -%Warning-WIDTH: t/t_lint_width_bad.v:37: Operator GTE expects 41 bits on the LHS, but LHS's VARREF 'a' generates 32 bits. - : ... In instance t +%Warning-WIDTH: t/t_lint_width_bad.v:37:26: Operator GTE expects 41 bits on the LHS, but LHS's VARREF 'a' generates 32 bits. + : ... In instance t initial for (a = 0; a >= THREE; ++a) $display(a); ^~ %Error: Exiting due to diff --git a/test_regress/t/t_lint_width_genfor_bad.out b/test_regress/t/t_lint_width_genfor_bad.out index 4fb3f3a8b..4572b46fd 100644 --- a/test_regress/t/t_lint_width_genfor_bad.out +++ b/test_regress/t/t_lint_width_genfor_bad.out @@ -1,22 +1,22 @@ -%Warning-WIDTH: t/t_lint_width_genfor_bad.v:24: Operator ASSIGN expects 4 bits on the Assign RHS, but Assign RHS's CONST '?32?h10' generates 32 or 5 bits. - : ... In instance t +%Warning-WIDTH: t/t_lint_width_genfor_bad.v:24:13: Operator ASSIGN expects 4 bits on the Assign RHS, but Assign RHS's CONST '?32?h10' generates 32 or 5 bits. + : ... In instance t rg = g; ^ ... Use "/* verilator lint_off WIDTH */" and lint_on around source to disable this message. -%Warning-WIDTH: t/t_lint_width_genfor_bad.v:25: Operator ASSIGN expects 4 bits on the Assign RHS, but Assign RHS's VARREF 'P' generates 32 or 5 bits. - : ... In instance t +%Warning-WIDTH: t/t_lint_width_genfor_bad.v:25:13: Operator ASSIGN expects 4 bits on the Assign RHS, but Assign RHS's VARREF 'P' generates 32 or 5 bits. + : ... In instance t rp = P; ^ -%Warning-WIDTH: t/t_lint_width_genfor_bad.v:26: Operator ASSIGN expects 4 bits on the Assign RHS, but Assign RHS's VARREF 'w' generates 5 bits. - : ... In instance t +%Warning-WIDTH: t/t_lint_width_genfor_bad.v:26:13: Operator ASSIGN expects 4 bits on the Assign RHS, but Assign RHS's VARREF 'w' generates 5 bits. + : ... In instance t rw = w; ^ -%Warning-WIDTH: t/t_lint_width_genfor_bad.v:27: Operator ASSIGN expects 4 bits on the Assign RHS, but Assign RHS's CONST '64'h1' generates 64 bits. - : ... In instance t +%Warning-WIDTH: t/t_lint_width_genfor_bad.v:27:13: Operator ASSIGN expects 4 bits on the Assign RHS, but Assign RHS's CONST '64'h1' generates 64 bits. + : ... In instance t rc = 64'h1; ^ -%Warning-WIDTH: t/t_lint_width_genfor_bad.v:32: Operator ASSIGN expects 4 bits on the Assign RHS, but Assign RHS's VARREF 'i' generates 32 bits. - : ... In instance t +%Warning-WIDTH: t/t_lint_width_genfor_bad.v:32:13: Operator ASSIGN expects 4 bits on the Assign RHS, but Assign RHS's VARREF 'i' generates 32 bits. + : ... In instance t ri = i; ^ %Error: Exiting due to diff --git a/test_regress/t/t_math_shift_over_bad.out b/test_regress/t/t_math_shift_over_bad.out index 0054d0ccb..4b37b74cf 100644 --- a/test_regress/t/t_math_shift_over_bad.out +++ b/test_regress/t/t_math_shift_over_bad.out @@ -1,5 +1,5 @@ -%Error: t/t_math_shift_over_bad.v:18: Value too wide for 32-bits expected in this context 64'h123456789abcdef - : ... In instance t +%Error: t/t_math_shift_over_bad.v:18:20: Value too wide for 32-bits expected in this context 64'h123456789abcdef + : ... In instance t assign o = i << 64'h01234567_89abcdef; ^~~~~~~~~~~~~~~~~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_math_shortreal_unsup_bad.out b/test_regress/t/t_math_shortreal_unsup_bad.out index 1e9fc1d38..7e9c97457 100644 --- a/test_regress/t/t_math_shortreal_unsup_bad.out +++ b/test_regress/t/t_math_shortreal_unsup_bad.out @@ -1,4 +1,4 @@ -%Warning-SHORTREAL: t/t_math_shortreal_unsup_bad.v:8: Unsupported: shortreal being promoted to real (suggest use real instead) +%Warning-SHORTREAL: t/t_math_shortreal_unsup_bad.v:8:4: Unsupported: shortreal being promoted to real (suggest use real instead) shortreal s; ^~~~~~~~~ ... Use "/* verilator lint_off SHORTREAL */" and lint_on around source to disable this message. diff --git a/test_regress/t/t_mem_multi_ref_bad.out b/test_regress/t/t_mem_multi_ref_bad.out index e12873d3e..be102e6f1 100644 --- a/test_regress/t/t_mem_multi_ref_bad.out +++ b/test_regress/t/t_mem_multi_ref_bad.out @@ -1,38 +1,38 @@ -%Error: t/t_mem_multi_ref_bad.v:14: Illegal bit or array select; type does not have a bit range, or bad dimension: data type is 'logic' - : ... In instance t +%Error: t/t_mem_multi_ref_bad.v:14:11: Illegal bit or array select; type does not have a bit range, or bad dimension: data type is 'logic' + : ... In instance t dimn[1:0] = 0; ^ -%Error: t/t_mem_multi_ref_bad.v:14: Extracting 2 bits from only 1 bit number - : ... In instance t +%Error: t/t_mem_multi_ref_bad.v:14:11: Extracting 2 bits from only 1 bit number + : ... In instance t dimn[1:0] = 0; ^ -%Error: t/t_mem_multi_ref_bad.v:15: Illegal bit or array select; type does not have a bit range, or bad dimension: data type is 'logic' - : ... In instance t +%Error: t/t_mem_multi_ref_bad.v:15:14: Illegal bit or array select; type does not have a bit range, or bad dimension: data type is 'logic' + : ... In instance t dim0[1][1] = 0; ^ -%Warning-SELRANGE: t/t_mem_multi_ref_bad.v:15: Selection index out of range: 1:1 outside 0:0 - : ... In instance t +%Warning-SELRANGE: t/t_mem_multi_ref_bad.v:15:14: Selection index out of range: 1:1 outside 0:0 + : ... In instance t dim0[1][1] = 0; ^ ... Use "/* verilator lint_off SELRANGE */" and lint_on around source to disable this message. -%Error: t/t_mem_multi_ref_bad.v:16: Illegal bit or array select; type does not have a bit range, or bad dimension: data type is 'logic' - : ... In instance t +%Error: t/t_mem_multi_ref_bad.v:16:17: Illegal bit or array select; type does not have a bit range, or bad dimension: data type is 'logic' + : ... In instance t dim1[1][1][1] = 0; ^ -%Warning-SELRANGE: t/t_mem_multi_ref_bad.v:16: Selection index out of range: 1:1 outside 0:0 - : ... In instance t +%Warning-SELRANGE: t/t_mem_multi_ref_bad.v:16:17: Selection index out of range: 1:1 outside 0:0 + : ... In instance t dim1[1][1][1] = 0; ^ -%Error: t/t_mem_multi_ref_bad.v:18: Illegal +: or -: select; type already selected, or bad dimension: data type is 'logic[1:0]$[1:0][1:0]' - : ... In instance t +%Error: t/t_mem_multi_ref_bad.v:18:11: Illegal +: or -: select; type already selected, or bad dimension: data type is 'logic[1:0]$[1:0][1:0]' + : ... In instance t dim2[0 +: 1][1] = 0; ^ -%Error: t/t_mem_multi_ref_bad.v:22: Illegal bit or array select; type does not have a bit range, or bad dimension: data type is 'logic' - : ... In instance t +%Error: t/t_mem_multi_ref_bad.v:22:16: Illegal bit or array select; type does not have a bit range, or bad dimension: data type is 'logic' + : ... In instance t dim0nv[1][1] = 0; ^ -%Warning-SELRANGE: t/t_mem_multi_ref_bad.v:22: Selection index out of range: 1:1 outside 0:0 - : ... In instance t +%Warning-SELRANGE: t/t_mem_multi_ref_bad.v:22:16: Selection index out of range: 1:1 outside 0:0 + : ... In instance t dim0nv[1][1] = 0; ^ %Error: Exiting due to diff --git a/test_regress/t/t_mem_packed_bad.out b/test_regress/t/t_mem_packed_bad.out index 33d55f0ce..ced70b77d 100644 --- a/test_regress/t/t_mem_packed_bad.out +++ b/test_regress/t/t_mem_packed_bad.out @@ -1,4 +1,4 @@ -%Error: t/t_mem_packed_bad.v:26: CONST '28'h0' unexpected in assignment to unpacked array +%Error: t/t_mem_packed_bad.v:26:32: CONST '28'h0' unexpected in assignment to unpacked array ch04 <= 56'd0; ^~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_mem_slice_bad.out b/test_regress/t/t_mem_slice_bad.out index 43b60c992..d3b800e35 100644 --- a/test_regress/t/t_mem_slice_bad.out +++ b/test_regress/t/t_mem_slice_bad.out @@ -1,25 +1,25 @@ -%Error: t/t_mem_slice_bad.v:38: Slice selection index '[2:0]' outside data type's '[1:0]' - : ... In instance t +%Error: t/t_mem_slice_bad.v:38:31: Slice selection index '[2:0]' outside data type's '[1:0]' + : ... In instance t assign active_command3[1:0][2:0][3:0] = (use_AnB) ? command_A3[1:0][2:0][3:0] : command_B3[1:0][1:0][3:0]; ^ -%Error: t/t_mem_slice_bad.v:38: Slice selection index '[3:0]' outside data type's '[2:0]' - : ... In instance t +%Error: t/t_mem_slice_bad.v:38:36: Slice selection index '[3:0]' outside data type's '[2:0]' + : ... In instance t assign active_command3[1:0][2:0][3:0] = (use_AnB) ? command_A3[1:0][2:0][3:0] : command_B3[1:0][1:0][3:0]; ^ -%Error: t/t_mem_slice_bad.v:38: Slice selection index '[2:0]' outside data type's '[1:0]' - : ... In instance t +%Error: t/t_mem_slice_bad.v:38:72: Slice selection index '[2:0]' outside data type's '[1:0]' + : ... In instance t assign active_command3[1:0][2:0][3:0] = (use_AnB) ? command_A3[1:0][2:0][3:0] : command_B3[1:0][1:0][3:0]; ^ -%Error: t/t_mem_slice_bad.v:38: Slice selection index '[3:0]' outside data type's '[2:0]' - : ... In instance t +%Error: t/t_mem_slice_bad.v:38:77: Slice selection index '[3:0]' outside data type's '[2:0]' + : ... In instance t assign active_command3[1:0][2:0][3:0] = (use_AnB) ? command_A3[1:0][2:0][3:0] : command_B3[1:0][1:0][3:0]; ^ -%Error: t/t_mem_slice_bad.v:38: Slice selection index '[3:0]' outside data type's '[1:0]' - : ... In instance t +%Error: t/t_mem_slice_bad.v:38:105: Slice selection index '[3:0]' outside data type's '[1:0]' + : ... In instance t assign active_command3[1:0][2:0][3:0] = (use_AnB) ? command_A3[1:0][2:0][3:0] : command_B3[1:0][1:0][3:0]; ^ -%Error: t/t_mem_slice_bad.v:50: Slice selection index '[8:0]' outside data type's '[7:0]' - : ... In instance t +%Error: t/t_mem_slice_bad.v:50:41: Slice selection index '[8:0]' outside data type's '[7:0]' + : ... In instance t active_command4[7:0] <= command_A4[8:0]; ^ %Error: Exiting due to diff --git a/test_regress/t/t_mem_slice_dtype_bad.out b/test_regress/t/t_mem_slice_dtype_bad.out index 92c5454e4..348c23673 100644 --- a/test_regress/t/t_mem_slice_dtype_bad.out +++ b/test_regress/t/t_mem_slice_dtype_bad.out @@ -1,4 +1,4 @@ -%Error: t/t_mem_slice_dtype_bad.v:22: ADD unexpected in assignment to unpacked array +%Error: t/t_mem_slice_dtype_bad.v:22:45: ADD unexpected in assignment to unpacked array completed_cnt[id] <= completed_cnt_dp + 1; ^ %Error: Exiting due to diff --git a/test_regress/t/t_metacmt_onoff.out b/test_regress/t/t_metacmt_onoff.out index e49dc4f6b..a1ab38317 100644 --- a/test_regress/t/t_metacmt_onoff.out +++ b/test_regress/t/t_metacmt_onoff.out @@ -1,8 +1,8 @@ -%Warning-LITENDIAN: t/t_metacmt_onoff.v:5: Little bit endian vector: MSB < LSB of bit range: 0:1 +%Warning-LITENDIAN: t/t_metacmt_onoff.v:5:8: Little bit endian vector: MSB < LSB of bit range: 0:1 reg [0:1] show1; /*verilator lint_off LITENDIAN*/ reg [0:2] ign2; /*verilator lint_on LITENDIAN*/ reg [0:3] show3; ^ ... Use "/* verilator lint_off LITENDIAN */" and lint_on around source to disable this message. -%Warning-LITENDIAN: t/t_metacmt_onoff.v:5: Little bit endian vector: MSB < LSB of bit range: 0:3 +%Warning-LITENDIAN: t/t_metacmt_onoff.v:5:109: Little bit endian vector: MSB < LSB of bit range: 0:3 reg [0:1] show1; /*verilator lint_off LITENDIAN*/ reg [0:2] ign2; /*verilator lint_on LITENDIAN*/ reg [0:3] show3; ^ %Error: Exiting due to diff --git a/test_regress/t/t_mod_dup_bad.out b/test_regress/t/t_mod_dup_bad.out index e0a5d6893..6cba44736 100644 --- a/test_regress/t/t_mod_dup_bad.out +++ b/test_regress/t/t_mod_dup_bad.out @@ -1,16 +1,16 @@ -%Warning-MODDUP: t/t_mod_dup_bad.v:13: Duplicate declaration of module: 'a' +%Warning-MODDUP: t/t_mod_dup_bad.v:13:8: Duplicate declaration of module: 'a' module a(); ^ - t/t_mod_dup_bad.v:6: ... Location of original declaration + t/t_mod_dup_bad.v:6:8: ... Location of original declaration module a(); ^ ... Use "/* verilator lint_off MODDUP */" and lint_on around source to disable this message. -%Warning-MULTITOP: t/t_mod_dup_bad.v:16: Multiple top level modules - : ... Suggest see manual; fix the duplicates, or use --top-module to select top. - : ... Top module 'test' +%Warning-MULTITOP: t/t_mod_dup_bad.v:16:8: Multiple top level modules + : ... Suggest see manual; fix the duplicates, or use --top-module to select top. + : ... Top module 'test' module test(); ^~~~ - : ... Top module 'b' + : ... Top module 'b' module b(); ^ %Error: Exiting due to diff --git a/test_regress/t/t_mod_interface_array3.out b/test_regress/t/t_mod_interface_array3.out index ad92220e4..635709953 100644 --- a/test_regress/t/t_mod_interface_array3.out +++ b/test_regress/t/t_mod_interface_array3.out @@ -1,7 +1,7 @@ -%Error: t/t_mod_interface_array3.v:24: Unsupported: Multidimensional cells/interfaces. +%Error: t/t_mod_interface_array3.v:24:20: Unsupported: Multidimensional cells/interfaces. a_if iface [2:0][1:0]; ^ -%Error: t/t_mod_interface_array3.v:26: Unsupported: Multidimensional cells/interfaces. +%Error: t/t_mod_interface_array3.v:26:18: Unsupported: Multidimensional cells/interfaces. sub i_sub[2:0][1:0] (.s(str)); ^ %Error: Exiting due to diff --git a/test_regress/t/t_multitop_sig_bad.out b/test_regress/t/t_multitop_sig_bad.out index 02a6e508c..a3a4aca31 100644 --- a/test_regress/t/t_multitop_sig_bad.out +++ b/test_regress/t/t_multitop_sig_bad.out @@ -1,13 +1,13 @@ -%Warning-MULTITOP: t/t_multitop_sig.v:14: Multiple top level modules - : ... Suggest see manual; fix the duplicates, or use --top-module to select top. +%Warning-MULTITOP: t/t_multitop_sig.v:14:8: Multiple top level modules + : ... Suggest see manual; fix the duplicates, or use --top-module to select top. ... Use "/* verilator lint_off MULTITOP */" and lint_on around source to disable this message. - : ... Top module 'a' + : ... Top module 'a' module a(in, out); ^ - : ... Top module 'b' + : ... Top module 'b' module b(in, out); ^ - : ... Top module 'c' + : ... Top module 'c' module c(uniq_in, uniq_out); ^ %Error: Exiting due to diff --git a/test_regress/t/t_number_bad.out b/test_regress/t/t_number_bad.out index 41224dd39..4ba1afe3b 100644 --- a/test_regress/t/t_number_bad.out +++ b/test_regress/t/t_number_bad.out @@ -1,10 +1,10 @@ -%Error: t/t_number_bad.v:8: Number is missing value digits: 32'd +%Error: t/t_number_bad.v:8:29: Number is missing value digits: 32'd parameter integer FOO2 = 32'd-6; ^~~~ -%Error: t/t_number_bad.v:9: Number is missing value digits: 32'd +%Error: t/t_number_bad.v:9:29: Number is missing value digits: 32'd parameter integer FOO3 = 32'd; ^~~~ -%Error: t/t_number_bad.v:10: Number is missing value digits: 32'h +%Error: t/t_number_bad.v:10:29: Number is missing value digits: 32'h parameter integer FOO4 = 32'h; ^~~~ %Error: Exiting due to diff --git a/test_regress/t/t_order_clkinst_bad.out b/test_regress/t/t_order_clkinst_bad.out index 79330f780..47a403157 100644 --- a/test_regress/t/t_order_clkinst_bad.out +++ b/test_regress/t/t_order_clkinst_bad.out @@ -1,23 +1,23 @@ -%Warning-IMPERFECTSCH: t/t_order_clkinst.v:17: Imperfect scheduling of variable: 't.c1_start' +%Warning-IMPERFECTSCH: t/t_order_clkinst.v:17:16: Imperfect scheduling of variable: 't.c1_start' reg c1_start; initial c1_start = 0; ^~~~~~~~ ... Use "/* verilator lint_off IMPERFECTSCH */" and lint_on around source to disable this message. -%Warning-IMPERFECTSCH: t/t_order_clkinst.v:18: Imperfect scheduling of variable: 't.c1_count' +%Warning-IMPERFECTSCH: t/t_order_clkinst.v:18:16: Imperfect scheduling of variable: 't.c1_count' wire [31:0] c1_count; ^~~~~~~~ -%Warning-IMPERFECTSCH: t/t_order_clkinst.v:22: Imperfect scheduling of variable: 't.s2_count' +%Warning-IMPERFECTSCH: t/t_order_clkinst.v:22:16: Imperfect scheduling of variable: 't.s2_count' wire [31:0] s2_count; ^~~~~~~~ -%Warning-IMPERFECTSCH: t/t_order_clkinst.v:26: Imperfect scheduling of variable: 't.c3_count' +%Warning-IMPERFECTSCH: t/t_order_clkinst.v:26:16: Imperfect scheduling of variable: 't.c3_count' wire [31:0] c3_count; ^~~~~~~~ -%Warning-IMPERFECTSCH: t/t_order_clkinst.v:70: Imperfect scheduling of variable: 't.c1.runner' +%Warning-IMPERFECTSCH: t/t_order_clkinst.v:70:28: Imperfect scheduling of variable: 't.c1.runner' reg [31:0] runnerm1, runner; initial runner = 0; ^~~~~~ -%Warning-IMPERFECTSCH: t/t_order_clkinst.v:99: Imperfect scheduling of variable: 't.s2.runner' +%Warning-IMPERFECTSCH: t/t_order_clkinst.v:99:28: Imperfect scheduling of variable: 't.s2.runner' reg [31:0] runnerm1, runner; initial runner = 0; ^~~~~~ -%Warning-IMPERFECTSCH: t/t_order_clkinst.v:70: Imperfect scheduling of variable: 't.c3.runner' +%Warning-IMPERFECTSCH: t/t_order_clkinst.v:70:28: Imperfect scheduling of variable: 't.c3.runner' reg [31:0] runnerm1, runner; initial runner = 0; ^~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_order_loop_bad.pl b/test_regress/t/t_order_loop_bad.pl index c7478bafa..3a7d864c8 100755 --- a/test_regress/t/t_order_loop_bad.pl +++ b/test_regress/t/t_order_loop_bad.pl @@ -14,9 +14,9 @@ lint( # Can't use expect_filename here as unstable output expect => '%Error: Circular logic when ordering code .* - *t/t_order_loop_bad.v:\d+: + Example path: ALWAYS - *t/t_order_loop_bad.v:\d+: + Example path: t.ready - *t/t_order_loop_bad.v:\d+: + Example path: ACTIVE + *t/t_order_loop_bad.v:\d+:\d+: + Example path: ALWAYS + *t/t_order_loop_bad.v:\d+:\d+: + Example path: t.ready + *t/t_order_loop_bad.v:\d+:\d+: + Example path: ACTIVE .*', ); diff --git a/test_regress/t/t_order_wireloop.pl b/test_regress/t/t_order_wireloop.pl index 6dc17910d..7af08d303 100755 --- a/test_regress/t/t_order_wireloop.pl +++ b/test_regress/t/t_order_wireloop.pl @@ -15,7 +15,7 @@ compile( # However we no longer gate optimize this # Can't use expect_filename here as unstable output expect => -'%Warning-UNOPT: t/t_order_wireloop.v:\d+: Signal unoptimizable: Feedback to public clock or circular logic: \'bar\' +'%Warning-UNOPT: t/t_order_wireloop.v:\d+:\d+: Signal unoptimizable: Feedback to public clock or circular logic: \'bar\' ', ); diff --git a/test_regress/t/t_package_export_bad.out b/test_regress/t/t_package_export_bad.out index 54980b7c8..6dd2a53d4 100644 --- a/test_regress/t/t_package_export_bad.out +++ b/test_regress/t/t_package_export_bad.out @@ -1,25 +1,25 @@ -%Error: t/t_package_export.v:56: Can't find definition of scope/variable: 'PARAM2' - : ... Suggested alternative: 'PARAM1' +%Error: t/t_package_export.v:56:16: Can't find definition of scope/variable: 'PARAM2' + : ... Suggested alternative: 'PARAM1' reg [pkg11::PARAM2 : 0] bus12; ^~~~~~ -%Error: t/t_package_export.v:57: Can't find definition of scope/variable: 'PARAM3' - : ... Suggested alternative: 'PARAM1' +%Error: t/t_package_export.v:57:16: Can't find definition of scope/variable: 'PARAM3' + : ... Suggested alternative: 'PARAM1' reg [pkg11::PARAM3 : 0] bus13; ^~~~~~ -%Error: t/t_package_export.v:60: Can't find definition of scope/variable: 'PARAM2' - : ... Suggested alternative: 'PARAM1' +%Error: t/t_package_export.v:60:16: Can't find definition of scope/variable: 'PARAM2' + : ... Suggested alternative: 'PARAM1' reg [pkg21::PARAM2 : 0] bus22; ^~~~~~ -%Error: t/t_package_export.v:61: Can't find definition of scope/variable: 'PARAM3' - : ... Suggested alternative: 'PARAM1' +%Error: t/t_package_export.v:61:16: Can't find definition of scope/variable: 'PARAM3' + : ... Suggested alternative: 'PARAM1' reg [pkg21::PARAM3 : 0] bus23; ^~~~~~ -%Error: t/t_package_export.v:64: Can't find definition of scope/variable: 'PARAM2' - : ... Suggested alternative: 'PARAM1' +%Error: t/t_package_export.v:64:16: Can't find definition of scope/variable: 'PARAM2' + : ... Suggested alternative: 'PARAM1' reg [pkg31::PARAM2 : 0] bus32; ^~~~~~ -%Error: t/t_package_export.v:65: Can't find definition of scope/variable: 'PARAM3' - : ... Suggested alternative: 'PARAM1' +%Error: t/t_package_export.v:65:16: Can't find definition of scope/variable: 'PARAM3' + : ... Suggested alternative: 'PARAM1' reg [pkg31::PARAM3 : 0] bus33; ^~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_packed_concat_bad.out b/test_regress/t/t_packed_concat_bad.out index d7f88ead6..403cd64dd 100644 --- a/test_regress/t/t_packed_concat_bad.out +++ b/test_regress/t/t_packed_concat_bad.out @@ -1,14 +1,14 @@ -%Warning-WIDTHCONCAT: t/t_packed_concat_bad.v:16: Unsized numbers/parameters not allowed in replications. - : ... In instance t +%Warning-WIDTHCONCAT: t/t_packed_concat_bad.v:16:47: Unsized numbers/parameters not allowed in replications. + : ... In instance t localparam bit_int_t [1:0] count_bits = {2{$bits(count_t)}}; ^~~~~ ... Use "/* verilator lint_off WIDTHCONCAT */" and lint_on around source to disable this message. -%Warning-WIDTHCONCAT: t/t_packed_concat_bad.v:17: Unsized numbers/parameters not allowed in concatenations. - : ... In instance t +%Warning-WIDTHCONCAT: t/t_packed_concat_bad.v:17:46: Unsized numbers/parameters not allowed in concatenations. + : ... In instance t localparam bit_int_t [1:0] count_bitsc = {$bits(count_t), $bits(count_t)}; ^~~~~ -%Warning-WIDTHCONCAT: t/t_packed_concat_bad.v:17: Unsized numbers/parameters not allowed in replications. - : ... In instance t +%Warning-WIDTHCONCAT: t/t_packed_concat_bad.v:17:60: Unsized numbers/parameters not allowed in replications. + : ... In instance t localparam bit_int_t [1:0] count_bitsc = {$bits(count_t), $bits(count_t)}; ^ %Error: Exiting due to diff --git a/test_regress/t/t_param_circ_bad.out b/test_regress/t/t_param_circ_bad.out index 35e6d04ea..35fd8efad 100644 --- a/test_regress/t/t_param_circ_bad.out +++ b/test_regress/t/t_param_circ_bad.out @@ -1,5 +1,5 @@ -%Error: t/t_param_circ_bad.v:10: Variable's initial value is circular: 'X' - : ... In instance t.sub +%Error: t/t_param_circ_bad.v:10:43: Variable's initial value is circular: 'X' + : ... In instance t.sub module sub #(parameter WIDTH=X, parameter X=WIDTH) ^ %Error: Exiting due to diff --git a/test_regress/t/t_param_concat_bad.out b/test_regress/t/t_param_concat_bad.out index e65f9494e..8b9cb3dd3 100644 --- a/test_regress/t/t_param_concat_bad.out +++ b/test_regress/t/t_param_concat_bad.out @@ -1,14 +1,14 @@ -%Warning-WIDTHCONCAT: t/t_param_concat.v:18: Unsized numbers/parameters not allowed in concatenations. - : ... In instance t +%Warning-WIDTHCONCAT: t/t_param_concat.v:18:15: Unsized numbers/parameters not allowed in concatenations. + : ... In instance t if ({UNSIZED,UNSIZED+1} != {32'd10, 32'd11}) $stop; ^~~~~~~ ... Use "/* verilator lint_off WIDTHCONCAT */" and lint_on around source to disable this message. -%Warning-WIDTHCONCAT: t/t_param_concat.v:18: Unsized numbers/parameters not allowed in replications. - : ... In instance t +%Warning-WIDTHCONCAT: t/t_param_concat.v:18:22: Unsized numbers/parameters not allowed in replications. + : ... In instance t if ({UNSIZED,UNSIZED+1} != {32'd10, 32'd11}) $stop; ^ -%Warning-WIDTHCONCAT: t/t_param_concat.v:19: Unsized numbers/parameters not allowed in replications. - : ... In instance t +%Warning-WIDTHCONCAT: t/t_param_concat.v:19:17: Unsized numbers/parameters not allowed in replications. + : ... In instance t if ({2{UNSIZED}} != {32'd10, 32'd10}) $stop; ^~~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_param_default_bad.out b/test_regress/t/t_param_default_bad.out index acea32421..3b5e1c309 100644 --- a/test_regress/t/t_param_default_bad.out +++ b/test_regress/t/t_param_default_bad.out @@ -1,5 +1,5 @@ -%Error: t/t_param_default_bad.v:6: Parameter without initial value is never given value (IEEE 1800-2017 6.20.1): 'Foo' - : ... In instance t.foo +%Error: t/t_param_default_bad.v:6:26: Parameter without initial value is never given value (IEEE 1800-2017 6.20.1): 'Foo' + : ... In instance t.foo module m #(parameter int Foo); ^~~ %Error: Exiting due to diff --git a/test_regress/t/t_param_default_presv_bad.out b/test_regress/t/t_param_default_presv_bad.out index e7e159d88..e06b6d870 100644 --- a/test_regress/t/t_param_default_presv_bad.out +++ b/test_regress/t/t_param_default_presv_bad.out @@ -1,4 +1,4 @@ -%Error: t/t_param_default_bad.v:6: Parameter requires default value, or use IEEE 1800-2009 or later. +%Error: t/t_param_default_bad.v:6:26: Parameter requires default value, or use IEEE 1800-2009 or later. module m #(parameter int Foo); ^~~ %Error: Exiting due to diff --git a/test_regress/t/t_param_in_func_bad.out b/test_regress/t/t_param_in_func_bad.out index e9b7f3081..d9e3a47fe 100644 --- a/test_regress/t/t_param_in_func_bad.out +++ b/test_regress/t/t_param_in_func_bad.out @@ -1,7 +1,7 @@ -%Error: t/t_param_in_func_bad.v:23: Unsupported: Parameters in functions. +%Error: t/t_param_in_func_bad.v:23:26: Unsupported: Parameters in functions. localparam logic[7:0] digits[10] ^~~~~~ -%Error: t/t_param_in_func_bad.v:27: Can't find definition of variable: 'digits' +%Error: t/t_param_in_func_bad.v:27:11: Can't find definition of variable: 'digits' return digits[d]; ^~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_param_noval_bad.out b/test_regress/t/t_param_noval_bad.out index 9ce66cb4a..612264b91 100644 --- a/test_regress/t/t_param_noval_bad.out +++ b/test_regress/t/t_param_noval_bad.out @@ -1,29 +1,29 @@ -%Error: t/t_param_noval_bad.v:6: Parameter without initial value is never given value (IEEE 1800-2017 6.20.1): 'P' - : ... In instance t +%Error: t/t_param_noval_bad.v:6:22: Parameter without initial value is never given value (IEEE 1800-2017 6.20.1): 'P' + : ... In instance t module t #(parameter P); ^ -%Warning-WIDTH: t/t_param_noval_bad.v:9: Logical Operator GENFOR expects 1 bit on the For Test Condition, but For Test Condition's VARREF 'P' generates 32 bits. - : ... In instance t +%Warning-WIDTH: t/t_param_noval_bad.v:9:7: Logical Operator GENFOR expects 1 bit on the For Test Condition, but For Test Condition's VARREF 'P' generates 32 bits. + : ... In instance t for (j=0; P; j++) ^~~ ... Use "/* verilator lint_off WIDTH */" and lint_on around source to disable this message. -%Error: t/t_param_noval_bad.v:9: Non-genvar used in generate for: 'j' - : ... In instance t +%Error: t/t_param_noval_bad.v:9:7: Non-genvar used in generate for: 'j' + : ... In instance t for (j=0; P; j++) ^~~ -%Error: t/t_param_noval_bad.v:9: Loop unrolling failed. - : ... In instance t +%Error: t/t_param_noval_bad.v:9:7: Loop unrolling failed. + : ... In instance t for (j=0; P; j++) ^~~ -%Error: t/t_param_noval_bad.v:9: Unsupported: Can't unroll generate for; Unable to unroll loop - : ... In instance t +%Error: t/t_param_noval_bad.v:9:7: Unsupported: Can't unroll generate for; Unable to unroll loop + : ... In instance t for (j=0; P; j++) ^~~ -%Error: t/t_param_noval_bad.v:9: For loop doesn't have genvar index, or is malformed - : ... In instance t +%Error: t/t_param_noval_bad.v:9:7: For loop doesn't have genvar index, or is malformed + : ... In instance t for (j=0; P; j++) ^~~ -%Error: Internal Error: t/t_param_noval_bad.v:9: ../V3Param.cpp:#: GENFOR should have been wrapped in BEGIN - : ... In instance t +%Error: Internal Error: t/t_param_noval_bad.v:9:7: ../V3Param.cpp:#: GENFOR should have been wrapped in BEGIN + : ... In instance t for (j=0; P; j++) ^~~ diff --git a/test_regress/t/t_param_scope_bad.out b/test_regress/t/t_param_scope_bad.out index c42f66b15..7c14a6020 100644 --- a/test_regress/t/t_param_scope_bad.out +++ b/test_regress/t/t_param_scope_bad.out @@ -1,4 +1,4 @@ -%Warning-CASEOVERLAP: t/t_param_scope_bad.v:27: Case values overlap (example pattern 0x2) +%Warning-CASEOVERLAP: t/t_param_scope_bad.v:27:9: Case values overlap (example pattern 0x2) 2'h2: $stop; ^~~~ ... Use "/* verilator lint_off CASEOVERLAP */" and lint_on around source to disable this message. diff --git a/test_regress/t/t_param_sel_range_bad.out b/test_regress/t/t_param_sel_range_bad.out index db349a12f..808fc7200 100644 --- a/test_regress/t/t_param_sel_range_bad.out +++ b/test_regress/t/t_param_sel_range_bad.out @@ -1,5 +1,5 @@ -%Warning-SELRANGE: t/t_param_sel_range.v:40: Selection index out of range: 7:7 outside 4:0 - : ... In instance t.u2 +%Warning-SELRANGE: t/t_param_sel_range.v:40:35: Selection index out of range: 7:7 outside 4:0 + : ... In instance t.u2 r_rst[i] <= r_rst[i-1]; ^ ... Use "/* verilator lint_off SELRANGE */" and lint_on around source to disable this message. diff --git a/test_regress/t/t_param_type_bad.out b/test_regress/t/t_param_type_bad.out index 269e88619..45fa5c810 100644 --- a/test_regress/t/t_param_type_bad.out +++ b/test_regress/t/t_param_type_bad.out @@ -1,4 +1,4 @@ -%Error: t/t_param_type_bad.v:8: Parameter type's initial value isn't a type: 'bad2' +%Error: t/t_param_type_bad.v:8:20: Parameter type's initial value isn't a type: 'bad2' localparam type bad2 = 2; ^~~~ %Error: Exiting due to diff --git a/test_regress/t/t_param_type_bad2.out b/test_regress/t/t_param_type_bad2.out index 481444c92..9334537a2 100644 --- a/test_regress/t/t_param_type_bad2.out +++ b/test_regress/t/t_param_type_bad2.out @@ -1,9 +1,9 @@ -%Error: t/t_param_type_bad2.v:7: Operator VAR 't' expected non-datatype Initial value but 'logic' is a datatype. - : ... In instance t +%Error: t/t_param_type_bad2.v:7:19: Operator VAR 't' expected non-datatype Initial value but 'logic' is a datatype. + : ... In instance t localparam t = logic; ^~~~~ -%Error: t/t_param_type_bad2.v:8: Operator VAR 't2' expected non-datatype Initial value but 'real' is a datatype. - : ... In instance t +%Error: t/t_param_type_bad2.v:8:20: Operator VAR 't2' expected non-datatype Initial value but 'real' is a datatype. + : ... In instance t localparam t2 = realtime; ^~~~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_param_up_bad.out b/test_regress/t/t_param_up_bad.out index 3ce943df0..01d53d620 100644 --- a/test_regress/t/t_param_up_bad.out +++ b/test_regress/t/t_param_up_bad.out @@ -1,4 +1,4 @@ -%Error: t/t_param_up_bad.v:15: Can't find definition of scope/variable: 'bar' +%Error: t/t_param_up_bad.v:15:19: Can't find definition of scope/variable: 'bar' assign a_bad = bar.foo; ^~~ %Error: Exiting due to diff --git a/test_regress/t/t_past_bad.out b/test_regress/t/t_past_bad.out index d6ac044d4..85f7de151 100644 --- a/test_regress/t/t_past_bad.out +++ b/test_regress/t/t_past_bad.out @@ -1,9 +1,9 @@ -%Error: t/t_past_bad.v:11: $past tick value must be constant (IEEE 1800-2017 16.9.3) - : ... In instance t +%Error: t/t_past_bad.v:11:11: $past tick value must be constant (IEEE 1800-2017 16.9.3) + : ... In instance t if ($past(d, 0)) $stop; ^~~~~ -%Warning-TICKCOUNT: t/t_past_bad.v:12: $past tick value of 10000 may have a large performance cost - : ... In instance t +%Warning-TICKCOUNT: t/t_past_bad.v:12:20: $past tick value of 10000 may have a large performance cost + : ... In instance t if ($past(d, 10000)) $stop; ^~~~~ ... Use "/* verilator lint_off TICKCOUNT */" and lint_on around source to disable this message. diff --git a/test_regress/t/t_past_unsup_bad.out b/test_regress/t/t_past_unsup_bad.out index aaac41288..c4a938171 100644 --- a/test_regress/t/t_past_unsup_bad.out +++ b/test_regress/t/t_past_unsup_bad.out @@ -1,4 +1,4 @@ -%Error: t/t_past_unsup_bad.v:12: Unsupported: $past expr2 and clock arguments +%Error: t/t_past_unsup_bad.v:12:11: Unsupported: $past expr2 and clock arguments if ($past(d, 0, 0, 0)) $stop; ^~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_pp_circ_subst_bad.out b/test_regress/t/t_pp_circ_subst_bad.out index a9e9b211a..5c0408317 100644 --- a/test_regress/t/t_pp_circ_subst_bad.out +++ b/test_regress/t/t_pp_circ_subst_bad.out @@ -1,3 +1,3 @@ -%Error: t/t_pp_circ_subst_bad.v:7: Too many preprocessor tokens on a line (>20000); perhaps recursive `define -%Error: t/t_pp_circ_subst_bad.v:7: syntax error, unexpected IDENTIFIER +%Error: t/t_pp_circ_subst_bad.v:7:40002: Too many preprocessor tokens on a line (>20000); perhaps recursive `define +%Error: t/t_pp_circ_subst_bad.v:7:1: syntax error, unexpected IDENTIFIER %Error: Exiting due to diff --git a/test_regress/t/t_pp_circdef_bad.out b/test_regress/t/t_pp_circdef_bad.out index 1039efb61..c688a2792 100644 --- a/test_regress/t/t_pp_circdef_bad.out +++ b/test_regress/t/t_pp_circdef_bad.out @@ -1,3 +1,3 @@ -%Error: t/t_pp_circdef_bad.v:13: Recursive `define or other nested inclusion -%Error: t/t_pp_circdef_bad.v:14: syntax error, unexpected $end, expecting TYPE-IDENTIFIER +%Error: t/t_pp_circdef_bad.v:13:21985: Recursive `define or other nested inclusion +%Error: t/t_pp_circdef_bad.v:14:1: syntax error, unexpected $end, expecting TYPE-IDENTIFIER %Error: Exiting due to diff --git a/test_regress/t/t_pp_defkwd_bad.out b/test_regress/t/t_pp_defkwd_bad.out index f64b09e20..506c13967 100644 --- a/test_regress/t/t_pp_defkwd_bad.out +++ b/test_regress/t/t_pp_defkwd_bad.out @@ -1,2 +1,2 @@ -%Error: t/t_pp_defkwd_bad.v:7: Attempting to define built-in directive: '`define' (IEEE 1800-2017 22.5.1) +%Error: t/t_pp_defkwd_bad.v:7:18: Attempting to define built-in directive: '`define' (IEEE 1800-2017 22.5.1) %Error: Exiting due to diff --git a/test_regress/t/t_pp_defparen_bad.out b/test_regress/t/t_pp_defparen_bad.out index 58bbefbb8..2d5c26bf0 100644 --- a/test_regress/t/t_pp_defparen_bad.out +++ b/test_regress/t/t_pp_defparen_bad.out @@ -1,7 +1,7 @@ -%Error: t/t_pp_defparen_bad.v:9: Illegal text before '(' that starts define arguments +%Error: t/t_pp_defparen_bad.v:9:2: Illegal text before '(' that starts define arguments ( 1,2) ^ -%Error: t/t_pp_defparen_bad.v:9: syntax error, unexpected '(' +%Error: t/t_pp_defparen_bad.v:9:2: syntax error, unexpected '(' ((val 1) + (2)) ^ %Error: Exiting due to diff --git a/test_regress/t/t_pp_dupdef_bad.out b/test_regress/t/t_pp_dupdef_bad.out index f0fdab49e..c36bdd5dd 100644 --- a/test_regress/t/t_pp_dupdef_bad.out +++ b/test_regress/t/t_pp_dupdef_bad.out @@ -1,6 +1,6 @@ -%Warning-REDEFMACRO: t/t_pp_dupdef.v:10: Redefining existing define: 'DUP', with different value: barney +%Warning-REDEFMACRO: t/t_pp_dupdef.v:10:20: Redefining existing define: 'DUP', with different value: barney ... Use "/* verilator lint_off REDEFMACRO */" and lint_on around source to disable this message. -%Warning-REDEFMACRO: t/t_pp_dupdef.v:10: Previous definition is here, with value: fred -%Warning-REDEFMACRO: t/t_pp_dupdef.v:13: Redefining existing define: 'DUPP', with different value: paramed(x,z) (x*z) -%Warning-REDEFMACRO: t/t_pp_dupdef.v:13: Previous definition is here, with value: paramed(x) (x) +%Warning-REDEFMACRO: t/t_pp_dupdef.v:10:20: Previous definition is here, with value: fred +%Warning-REDEFMACRO: t/t_pp_dupdef.v:13:33: Redefining existing define: 'DUPP', with different value: paramed(x,z) (x*z) +%Warning-REDEFMACRO: t/t_pp_dupdef.v:13:33: Previous definition is here, with value: paramed(x) (x) %Error: Exiting due to diff --git a/test_regress/t/t_pp_line_bad.out b/test_regress/t/t_pp_line_bad.out index e88ab8e47..82776db78 100644 --- a/test_regress/t/t_pp_line_bad.out +++ b/test_regress/t/t_pp_line_bad.out @@ -1,15 +1,15 @@ -%Error: t/t_pp_line_bad.v:100: `line was not properly formed with '`line number "filename" level' +%Error: t/t_pp_line_bad.v:100:1: `line was not properly formed with '`line number "filename" level' `line 100 ^ -%Error: somefile:100: `line was not properly formed with '`line number "filename" level' +%Error: somefile:100:1: `line was not properly formed with '`line number "filename" level' `line 100 ^ -%Error: somefile:100: `line was not properly formed with '`line number "filename" level' +%Error: somefile:100:1: `line was not properly formed with '`line number "filename" level' %Error: internal tracking of file contents failed ^ -%Error: t/t_pp_line_bad.v:6: Define or directive not defined: '`line' +%Error: t/t_pp_line_bad.v:6:1: Define or directive not defined: '`line' `line ^~~~~ -%Error: somefile:100: `line was not properly formed with '`line number "filename" level' - t/t_pp_line_bad.v:101: ... note: In file included from t_pp_line_bad.v +%Error: somefile:100:1: `line was not properly formed with '`line number "filename" level' + t/t_pp_line_bad.v:101:1: ... note: In file included from t_pp_line_bad.v %Error: Exiting due to diff --git a/test_regress/t/t_pp_misdef_bad.out b/test_regress/t/t_pp_misdef_bad.out index 6cb34ad26..4c93baa43 100644 --- a/test_regress/t/t_pp_misdef_bad.out +++ b/test_regress/t/t_pp_misdef_bad.out @@ -1,9 +1,9 @@ -%Error: t/t_pp_misdef_bad.v:10: Define or directive not defined: '`NDEFINED' - : ... Suggested alternative: '`DEFINED' +%Error: t/t_pp_misdef_bad.v:10:4: Define or directive not defined: '`NDEFINED' + : ... Suggested alternative: '`DEFINED' `NDEFINED ^~~~~~~~~ -%Error: t/t_pp_misdef_bad.v:13: Define or directive not defined: '`imescale' - : ... Suggested alternative: '`timescale' +%Error: t/t_pp_misdef_bad.v:13:6: Define or directive not defined: '`imescale' + : ... Suggested alternative: '`timescale' `imescale ^~~~~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_pp_pragma_bad.out b/test_regress/t/t_pp_pragma_bad.out index 8ba8ab7cd..88f47a4e3 100644 --- a/test_regress/t/t_pp_pragma_bad.out +++ b/test_regress/t/t_pp_pragma_bad.out @@ -1,4 +1,4 @@ -%Error: t/t_pp_pragma_bad.v:6: `pragma is missing a pragma_expression. +%Error: t/t_pp_pragma_bad.v:6:1: `pragma is missing a pragma_expression. `pragma ^~~~~~~ `line 1 "t/t_pp_pragma_bad.v" 1 diff --git a/test_regress/t/t_pp_resetall_bad.out b/test_regress/t/t_pp_resetall_bad.out index 8de09b7c5..035e6d9b3 100644 --- a/test_regress/t/t_pp_resetall_bad.out +++ b/test_regress/t/t_pp_resetall_bad.out @@ -1,4 +1,4 @@ -%Error: t/t_pp_resetall_bad.v:8: syntax error, unexpected `resetall +%Error: t/t_pp_resetall_bad.v:8:1: syntax error, unexpected `resetall `resetall ^~~~~~~~~ %Error: Cannot continue diff --git a/test_regress/t/t_pp_underline_bad.out b/test_regress/t/t_pp_underline_bad.out index 4c83f2957..c9e2979a1 100644 --- a/test_regress/t/t_pp_underline_bad.out +++ b/test_regress/t/t_pp_underline_bad.out @@ -1,7 +1,7 @@ -%Error: t/t_pp_underline_bad.v:7: Extra underscore in meta-comment; use /*verilator {...}*/ not /*verilator_{...}*/ +%Error: t/t_pp_underline_bad.v:7:4: Extra underscore in meta-comment; use /*verilator {...}*/ not /*verilator_{...}*/ // verilator_no_inline_module ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -%Error: t/t_pp_underline_bad.v:7: Unknown verilator comment: /*verilator _no_inline_module*/ +%Error: t/t_pp_underline_bad.v:7:4: Unknown verilator comment: /*verilator _no_inline_module*/ /*verilator _no_inline_module*/ ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_preproc_cmtend_bad.out b/test_regress/t/t_preproc_cmtend_bad.out index 9a57b89a5..e705f1129 100644 --- a/test_regress/t/t_preproc_cmtend_bad.out +++ b/test_regress/t/t_preproc_cmtend_bad.out @@ -1,2 +1,2 @@ -%Error: t/t_preproc_cmtend_bad.v:9: EOF in '/* ... */' block comment +%Error: t/t_preproc_cmtend_bad.v:9:1: EOF in '/* ... */' block comment %Error: Exiting due to diff --git a/test_regress/t/t_preproc_inc_bad.out b/test_regress/t/t_preproc_inc_bad.out index e715854ad..b32d61455 100644 --- a/test_regress/t/t_preproc_inc_bad.out +++ b/test_regress/t/t_preproc_inc_bad.out @@ -1,5 +1,5 @@ -%Error: t/t_preproc_inc_inc_bad.vh:10: syntax error, unexpected endmodule, expecting IDENTIFIER +%Error: t/t_preproc_inc_inc_bad.vh:10:1: syntax error, unexpected endmodule, expecting IDENTIFIER endmodule ^~~~~~~~~ - t/t_preproc_inc_bad.v:9: ... note: In file included from t_preproc_inc_bad.v + t/t_preproc_inc_bad.v:9:1: ... note: In file included from t_preproc_inc_bad.v %Error: Exiting due to diff --git a/test_regress/t/t_preproc_inc_notfound_bad.out b/test_regress/t/t_preproc_inc_notfound_bad.out index 41a4268eb..6f8704c7b 100644 --- a/test_regress/t/t_preproc_inc_notfound_bad.out +++ b/test_regress/t/t_preproc_inc_notfound_bad.out @@ -1,4 +1,4 @@ -%Error: t/t_preproc_inc_notfound_bad.v:6: Cannot find include file: this_file_is_not_found.vh +%Error: t/t_preproc_inc_notfound_bad.v:6:10: Cannot find include file: this_file_is_not_found.vh `include "this_file_is_not_found.vh" ^~~~~~~~~~~~~~~~~~~~~~~~~~~ ... Looked in: diff --git a/test_regress/t/t_preproc_inc_recurse_bad.out b/test_regress/t/t_preproc_inc_recurse_bad.out index a4ac2808b..b0bb7b0e6 100644 --- a/test_regress/t/t_preproc_inc_recurse_bad.out +++ b/test_regress/t/t_preproc_inc_recurse_bad.out @@ -1,4 +1,4 @@ -%Error: t/t_preproc_inc_recurse_bad.v:6: Recursive inclusion of file: t/t_preproc_inc_recurse_bad.v +%Error: t/t_preproc_inc_recurse_bad.v:6:10: Recursive inclusion of file: t/t_preproc_inc_recurse_bad.v `include "t_preproc_inc_recurse_bad.v" ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_preproc_stringend_bad.out b/test_regress/t/t_preproc_stringend_bad.out index a0c3c04b1..a6211881f 100644 --- a/test_regress/t/t_preproc_stringend_bad.out +++ b/test_regress/t/t_preproc_stringend_bad.out @@ -1,2 +1,2 @@ -%Error: t/t_preproc_stringend_bad.v:7: Unterminated string +%Error: t/t_preproc_stringend_bad.v:7:1: Unterminated string %Error: Exiting due to diff --git a/test_regress/t/t_prot_lib_inout_bad.out b/test_regress/t/t_prot_lib_inout_bad.out index 6c5b91eb6..33620528e 100644 --- a/test_regress/t/t_prot_lib_inout_bad.out +++ b/test_regress/t/t_prot_lib_inout_bad.out @@ -1,4 +1,4 @@ -%Error: t/t_prot_lib_inout_bad.v:8: Unsupported: protect-lib port direction: INOUT +%Error: t/t_prot_lib_inout_bad.v:8:28: Unsupported: protect-lib port direction: INOUT inout z, ^ %Error: Exiting due to diff --git a/test_regress/t/t_prot_lib_unpacked_bad.out b/test_regress/t/t_prot_lib_unpacked_bad.out index 4c5fa6726..33be5d768 100644 --- a/test_regress/t/t_prot_lib_unpacked_bad.out +++ b/test_regress/t/t_prot_lib_unpacked_bad.out @@ -1,7 +1,7 @@ -%Error: t/t_prot_lib_unpacked_bad.v:6: Unsupported: unpacked arrays with protect-lib on 'unpacked_in' +%Error: t/t_prot_lib_unpacked_bad.v:6:28: Unsupported: unpacked arrays with protect-lib on 'unpacked_in' input unpacked_in [7:0], ^~~~~~~~~~~ -%Error: t/t_prot_lib_unpacked_bad.v:7: Unsupported: unpacked arrays with protect-lib on 'unpacked_out' +%Error: t/t_prot_lib_unpacked_bad.v:7:28: Unsupported: unpacked arrays with protect-lib on 'unpacked_out' output unpacked_out [7:0]); ^~~~~~~~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_queue_unsup_bad.out b/test_regress/t/t_queue_unsup_bad.out index 2be8b4f3c..8812183aa 100644 --- a/test_regress/t/t_queue_unsup_bad.out +++ b/test_regress/t/t_queue_unsup_bad.out @@ -1,61 +1,61 @@ -%Error: t/t_queue_unsup_bad.v:20: Unsupported: Replication to form 'string[$]' data type - : ... In instance t +%Error: t/t_queue_unsup_bad.v:20:11: Unsupported: Replication to form 'string[$]' data type + : ... In instance t q = {"q", "b", "c", "d", "e", "f"}; ^ -%Error: t/t_queue_unsup_bad.v:23: Unsupported: Queue .delete(index) method, as is O(n) complexity and slow. - : ... In instance t +%Error: t/t_queue_unsup_bad.v:23:9: Unsupported: Queue .delete(index) method, as is O(n) complexity and slow. + : ... In instance t q.delete(1); ^~~~~~ -%Error: t/t_queue_unsup_bad.v:27: Unsupported: Queue .insert method, as is O(n) complexity and slow. - : ... In instance t +%Error: t/t_queue_unsup_bad.v:27:9: Unsupported: Queue .insert method, as is O(n) complexity and slow. + : ... In instance t q.insert(2, "ins2"); ^~~~~~ -%Error: t/t_queue_unsup_bad.v:33: Unsupported: Replication to form 'string[$]' data type - : ... In instance t +%Error: t/t_queue_unsup_bad.v:33:11: Unsupported: Replication to form 'string[$]' data type + : ... In instance t q = {q, "f1"}; ^ -%Error: t/t_queue_unsup_bad.v:34: Unsupported: Replication to form 'string[$]' data type - : ... In instance t +%Error: t/t_queue_unsup_bad.v:34:11: Unsupported: Replication to form 'string[$]' data type + : ... In instance t q = {q, "f2"}; ^ -%Error: t/t_queue_unsup_bad.v:35: Unsupported: Replication to form 'string[$]' data type - : ... In instance t +%Error: t/t_queue_unsup_bad.v:35:11: Unsupported: Replication to form 'string[$]' data type + : ... In instance t q = {"b1", q}; ^ -%Error: t/t_queue_unsup_bad.v:36: Unsupported: Replication to form 'string[$]' data type - : ... In instance t +%Error: t/t_queue_unsup_bad.v:36:11: Unsupported: Replication to form 'string[$]' data type + : ... In instance t q = {"b2", q}; ^ -%Error: t/t_queue_unsup_bad.v:37: Unsupported: Replication to form 'string[$]' data type - : ... In instance t +%Error: t/t_queue_unsup_bad.v:37:11: Unsupported: Replication to form 'string[$]' data type + : ... In instance t q = {q[0], q[2:$]}; ^ -%Error: t/t_queue_unsup_bad.v:37: Unsupported/illegal unbounded ('$') in this context. - : ... In instance t +%Error: t/t_queue_unsup_bad.v:37:22: Unsupported/illegal unbounded ('$') in this context. + : ... In instance t q = {q[0], q[2:$]}; ^ -%Error: t/t_queue_unsup_bad.v:37: Expecting expression to be constant, but can't convert a UNBOUNDED to constant. - : ... In instance t +%Error: t/t_queue_unsup_bad.v:37:22: Expecting expression to be constant, but can't convert a UNBOUNDED to constant. + : ... In instance t q = {q[0], q[2:$]}; ^ -%Error: t/t_queue_unsup_bad.v:37: First value of [a:b] isn't a constant, maybe you want +: or -: - : ... In instance t +%Error: t/t_queue_unsup_bad.v:37:22: First value of [a:b] isn't a constant, maybe you want +: or -: + : ... In instance t q = {q[0], q[2:$]}; ^ -%Error: t/t_queue_unsup_bad.v:37: Illegal range select; type already selected, or bad dimension: data type is 'string[$]' - : ... In instance t +%Error: t/t_queue_unsup_bad.v:37:19: Illegal range select; type already selected, or bad dimension: data type is 'string[$]' + : ... In instance t q = {q[0], q[2:$]}; ^ -%Error: t/t_queue_unsup_bad.v:41: Unsupported: Replication to form 'string[$]' data type - : ... In instance t +%Error: t/t_queue_unsup_bad.v:41:25: Unsupported: Replication to form 'string[$]' data type + : ... In instance t string ai[$] = { "Foo", "Bar" }; ^ -%Error: t/t_queue_unsup_bad.v:46: Unsupported: Assignment pattern applies against non struct/union data type: 'string[$]' - : ... In instance t +%Error: t/t_queue_unsup_bad.v:46:14: Unsupported: Assignment pattern applies against non struct/union data type: 'string[$]' + : ... In instance t q = '{ "BB", "CC" }; ^~ -%Error: t/t_queue_unsup_bad.v:49: Unsupported: Replication to form 'string[$]' data type - : ... In instance t +%Error: t/t_queue_unsup_bad.v:49:14: Unsupported: Replication to form 'string[$]' data type + : ... In instance t q = { "BB", "CC" }; ^ %Error: Exiting due to diff --git a/test_regress/t/t_select_bad_msb.out b/test_regress/t/t_select_bad_msb.out index 08edfb421..36408df78 100644 --- a/test_regress/t/t_select_bad_msb.out +++ b/test_regress/t/t_select_bad_msb.out @@ -1,9 +1,9 @@ -%Warning-LITENDIAN: t/t_select_bad_msb.v:11: Little bit endian vector: MSB < LSB of bit range: 0:22 +%Warning-LITENDIAN: t/t_select_bad_msb.v:11:8: Little bit endian vector: MSB < LSB of bit range: 0:22 reg [0:22] backwd; ^ ... Use "/* verilator lint_off LITENDIAN */" and lint_on around source to disable this message. -%Error: t/t_select_bad_msb.v:15: [1:4] Range extract has backward bit ordering, perhaps you wanted [4:1] - : ... In instance t +%Error: t/t_select_bad_msb.v:15:16: [1:4] Range extract has backward bit ordering, perhaps you wanted [4:1] + : ... In instance t sel2 = mi[1:4]; ^ %Error: Exiting due to diff --git a/test_regress/t/t_select_bad_range.out b/test_regress/t/t_select_bad_range.out index 189af895f..2da78719f 100644 --- a/test_regress/t/t_select_bad_range.out +++ b/test_regress/t/t_select_bad_range.out @@ -1,10 +1,10 @@ -%Warning-SELRANGE: t/t_select_bad_range.v:15: Selection index out of range: 44:44 outside 43:0 - : ... In instance t +%Warning-SELRANGE: t/t_select_bad_range.v:15:15: Selection index out of range: 44:44 outside 43:0 + : ... In instance t sel = mi[44]; ^ ... Use "/* verilator lint_off SELRANGE */" and lint_on around source to disable this message. -%Warning-SELRANGE: t/t_select_bad_range.v:16: Selection index out of range: 44:41 outside 43:0 - : ... In instance t +%Warning-SELRANGE: t/t_select_bad_range.v:16:16: Selection index out of range: 44:41 outside 43:0 + : ... In instance t sel2 = mi[44:41]; ^ %Error: Exiting due to diff --git a/test_regress/t/t_select_bad_range2.out b/test_regress/t/t_select_bad_range2.out index 68a68164c..9cc8ad0c1 100644 --- a/test_regress/t/t_select_bad_range2.out +++ b/test_regress/t/t_select_bad_range2.out @@ -1,5 +1,5 @@ -%Warning-SELRANGE: t/t_select_bad_range2.v:50: Selection index out of range: 3:2 outside 1:0 - : ... In instance t.test +%Warning-SELRANGE: t/t_select_bad_range2.v:50:21: Selection index out of range: 3:2 outside 1:0 + : ... In instance t.test assign out32 = in[3:2]; ^ ... Use "/* verilator lint_off SELRANGE */" and lint_on around source to disable this message. diff --git a/test_regress/t/t_select_bad_range3.out b/test_regress/t/t_select_bad_range3.out index 1ba08436d..851afde5f 100644 --- a/test_regress/t/t_select_bad_range3.out +++ b/test_regress/t/t_select_bad_range3.out @@ -1,5 +1,5 @@ -%Warning-SELRANGE: t/t_select_bad_range3.v:18: Selection index out of range: 13 outside 12:10 - : ... In instance t +%Warning-SELRANGE: t/t_select_bad_range3.v:18:33: Selection index out of range: 13 outside 12:10 + : ... In instance t assign outwires[12] = inwires[13]; ^ ... Use "/* verilator lint_off SELRANGE */" and lint_on around source to disable this message. diff --git a/test_regress/t/t_select_bad_tri.out b/test_regress/t/t_select_bad_tri.out index 37a5a5458..0360ec653 100644 --- a/test_regress/t/t_select_bad_tri.out +++ b/test_regress/t/t_select_bad_tri.out @@ -1,5 +1,5 @@ -%Error: t/t_select_bad_tri.v:10: Selection index is constantly unknown or tristated: lsb=7'bxxxxxxx width=32'sh47 - : ... In instance t +%Error: t/t_select_bad_tri.v:10:13: Selection index is constantly unknown or tristated: lsb=7'bxxxxxxx width=32'sh47 + : ... In instance t if (in[( (1'h0 / 1'b0) )+:71] != 71'h0) $stop; ^ %Error: Exiting due to diff --git a/test_regress/t/t_split_var_1_bad.out b/test_regress/t/t_split_var_1_bad.out index 197e42cdb..2d62d7599 100644 --- a/test_regress/t/t_split_var_1_bad.out +++ b/test_regress/t/t_split_var_1_bad.out @@ -1,65 +1,65 @@ -%Warning-SPLITVAR: t/t_split_var_1_bad.v:6: 'should_show_warning_global0' has split_var metacomment, but will not be split because it is not declared in a module. +%Warning-SPLITVAR: t/t_split_var_1_bad.v:6:13: 'should_show_warning_global0' has split_var metacomment, but will not be split because it is not declared in a module. logic [7:0] should_show_warning_global0 /*verilator split_var*/ ; ^~~~~~~~~~~~~~~~~~~~~~~~~~~ ... Use "/* verilator lint_off SPLITVAR */" and lint_on around source to disable this message. -%Warning-SPLITVAR: t/t_split_var_1_bad.v:7: 'should_show_warning_global1' has split_var metacomment, but will not be split because it is not declared in a module. +%Warning-SPLITVAR: t/t_split_var_1_bad.v:7:13: 'should_show_warning_global1' has split_var metacomment, but will not be split because it is not declared in a module. logic [7:0] should_show_warning_global1 [1:0] /*verilator split_var*/ ; ^~~~~~~~~~~~~~~~~~~~~~~~~~~ -%Warning-SPLITVAR: t/t_split_var_1_bad.v:10: 'should_show_warning_ifs0' has split_var metacomment, but will not be split because it is not declared in a module. +%Warning-SPLITVAR: t/t_split_var_1_bad.v:10:16: 'should_show_warning_ifs0' has split_var metacomment, but will not be split because it is not declared in a module. logic [7:0] should_show_warning_ifs0 /*verilator split_var*/ ; ^~~~~~~~~~~~~~~~~~~~~~~~ -%Warning-SPLITVAR: t/t_split_var_1_bad.v:11: 'should_show_warning_ifs1' has split_var metacomment, but will not be split because it is not declared in a module. +%Warning-SPLITVAR: t/t_split_var_1_bad.v:11:16: 'should_show_warning_ifs1' has split_var metacomment, but will not be split because it is not declared in a module. logic [7:0] should_show_warning_ifs1 [1:0] /*verilator split_var*/ ; ^~~~~~~~~~~~~~~~~~~~~~~~ -%Warning-SPLITVAR: t/t_split_var_1_bad.v:37: 'cannot_split1' has split_var metacomment but will not be split because it is accessed from another module via a dot. +%Warning-SPLITVAR: t/t_split_var_1_bad.v:37:14: 'cannot_split1' has split_var metacomment but will not be split because it is accessed from another module via a dot. i_sub0.cannot_split1[0] = 0; ^~~~~~~~~~~~~ -%Warning-SELRANGE: t/t_split_var_1_bad.v:82: Selection index out of range: 13 outside 12:10 - : ... In instance t.i_sub3 +%Warning-SELRANGE: t/t_split_var_1_bad.v:82:33: Selection index out of range: 13 outside 12:10 + : ... In instance t.i_sub3 assign outwires[12] = inwires[13]; ^ -%Warning-WIDTH: t/t_split_var_1_bad.v:38: Operator ASSIGN expects 8 bits on the Assign RHS, but Assign RHS's FUNCREF 'bad_func' generates 32 bits. - : ... In instance t +%Warning-WIDTH: t/t_split_var_1_bad.v:38:31: Operator ASSIGN expects 8 bits on the Assign RHS, but Assign RHS's FUNCREF 'bad_func' generates 32 bits. + : ... In instance t i_sub0.cannot_split1[1] = bad_func(addr, rd_data0); ^ -%Error: t/t_split_var_1_bad.v:71: Illegal assignment of constant to unpacked array - : ... In instance t.i_sub2 +%Error: t/t_split_var_1_bad.v:71:16: Illegal assignment of constant to unpacked array + : ... In instance t.i_sub2 assign b = a[0]; ^ -%Warning-SPLITVAR: t/t_split_var_1_bad.v:50: 'cannot_split0' has split_var metacomment but will not be split because index cannot be determined statically. - : ... In instance t.i_sub0 +%Warning-SPLITVAR: t/t_split_var_1_bad.v:50:31: 'cannot_split0' has split_var metacomment but will not be split because index cannot be determined statically. + : ... In instance t.i_sub0 rd_data = cannot_split0[addr]; ^~~~ -%Warning-SPLITVAR: t/t_split_var_1_bad.v:82: 'inwires' has split_var metacomment but will not be split because index is out of range. - : ... In instance t.i_sub3 +%Warning-SPLITVAR: t/t_split_var_1_bad.v:82:34: 'inwires' has split_var metacomment but will not be split because index is out of range. + : ... In instance t.i_sub3 assign outwires[12] = inwires[13]; ^~ -%Warning-SPLITVAR: t/t_split_var_1_bad.v:16: 'should_show_warning0' has split_var metacomment but will not be split because it is not an aggregate type of bit nor logic - : ... In instance t +%Warning-SPLITVAR: t/t_split_var_1_bad.v:16:9: 'should_show_warning0' has split_var metacomment but will not be split because it is not an aggregate type of bit nor logic + : ... In instance t real should_show_warning0 /*verilator split_var*/ ; ^~~~~~~~~~~~~~~~~~~~ -%Warning-SPLITVAR: t/t_split_var_1_bad.v:17: 'should_show_warning1' has split_var metacomment but will not be split because it is not an aggregate type of bit nor logic - : ... In instance t +%Warning-SPLITVAR: t/t_split_var_1_bad.v:17:11: 'should_show_warning1' has split_var metacomment but will not be split because it is not an aggregate type of bit nor logic + : ... In instance t string should_show_warning1 /*verilator split_var*/ ; ^~~~~~~~~~~~~~~~~~~~ -%Warning-SPLITVAR: t/t_split_var_1_bad.v:18: 'should_show_warning2' has split_var metacomment but will not be split because its bitwidth is 1 - : ... In instance t +%Warning-SPLITVAR: t/t_split_var_1_bad.v:18:11: 'should_show_warning2' has split_var metacomment but will not be split because its bitwidth is 1 + : ... In instance t wire should_show_warning2 /*verilator split_var*/ ; ^~~~~~~~~~~~~~~~~~~~ -%Warning-SPLITVAR: t/t_split_var_1_bad.v:29: 'inout_port' has split_var metacomment but will not be split because it is an inout port - : ... In instance t +%Warning-SPLITVAR: t/t_split_var_1_bad.v:29:44: 'inout_port' has split_var metacomment but will not be split because it is an inout port + : ... In instance t function int bad_func(inout logic [3:0] inout_port /*verilator split_var*/ , ^~~~~~~~~~ -%Warning-SPLITVAR: t/t_split_var_1_bad.v:30: 'ref_port' has split_var metacomment but will not be split because it is a ref argument - : ... In instance t +%Warning-SPLITVAR: t/t_split_var_1_bad.v:30:42: 'ref_port' has split_var metacomment but will not be split because it is a ref argument + : ... In instance t ref logic [7:0] ref_port /*verilator split_var*/ ); ^~~~~~~~ -%Warning-SPLITVAR: t/t_split_var_1_bad.v:56: 'cannot_split_genvar' has split_var metacomment but will not be split because it is not an aggregate type of bit nor logic - : ... In instance t.i_sub1 +%Warning-SPLITVAR: t/t_split_var_1_bad.v:56:11: 'cannot_split_genvar' has split_var metacomment but will not be split because it is not an aggregate type of bit nor logic + : ... In instance t.i_sub1 genvar cannot_split_genvar /*verilator split_var*/ ; ^~~~~~~~~~~~~~~~~~~ -%Warning-SPLITVAR: t/t_split_var_1_bad.v:59: 'cannot_split' has split_var metacomment but will not be split because its bit range cannot be determined statically. - : ... In instance t.i_sub1 +%Warning-SPLITVAR: t/t_split_var_1_bad.v:59:29: 'cannot_split' has split_var metacomment but will not be split because its bit range cannot be determined statically. + : ... In instance t.i_sub1 rd_data = cannot_split[addr]; ^ %Error: Exiting due to diff --git a/test_regress/t/t_string_type_methods_bad.out b/test_regress/t/t_string_type_methods_bad.out index 92116ca77..ba8e61e03 100644 --- a/test_regress/t/t_string_type_methods_bad.out +++ b/test_regress/t/t_string_type_methods_bad.out @@ -1,13 +1,13 @@ -%Error: t/t_string_type_methods_bad.v:14: The 1 arguments passed to .len method does not match its requiring 0 arguments - : ... In instance t +%Error: t/t_string_type_methods_bad.v:14:13: The 1 arguments passed to .len method does not match its requiring 0 arguments + : ... In instance t i = s.len(0); ^~~ -%Error: t/t_string_type_methods_bad.v:15: The 0 arguments passed to .itoa method does not match its requiring 1 arguments - : ... In instance t +%Error: t/t_string_type_methods_bad.v:15:9: The 0 arguments passed to .itoa method does not match its requiring 1 arguments + : ... In instance t s.itoa; ^~~~ -%Error: t/t_string_type_methods_bad.v:16: The 3 arguments passed to .itoa method does not match its requiring 1 arguments - : ... In instance t +%Error: t/t_string_type_methods_bad.v:16:9: The 3 arguments passed to .itoa method does not match its requiring 1 arguments + : ... In instance t s.itoa(1,2,3); ^~~~ %Error: Exiting due to diff --git a/test_regress/t/t_struct_init_bad.out b/test_regress/t/t_struct_init_bad.out index 57615827a..73151a691 100644 --- a/test_regress/t/t_struct_init_bad.out +++ b/test_regress/t/t_struct_init_bad.out @@ -1,5 +1,5 @@ -%Error: t/t_struct_init.v:53: Assignment pattern contains duplicate entry: b1 - : ... In instance t +%Error: t/t_struct_init.v:53:40: Assignment pattern contains duplicate entry: b1 + : ... In instance t const b4_t b4_const_c = '{b1: 1'b1, b1: 1'b0, b0:1'b0, b2: 1'b1, b3: 1'b1}; ^~ %Error: Exiting due to diff --git a/test_regress/t/t_struct_notfound_bad.out b/test_regress/t/t_struct_notfound_bad.out index 91b308665..0f9849297 100644 --- a/test_regress/t/t_struct_notfound_bad.out +++ b/test_regress/t/t_struct_notfound_bad.out @@ -1,5 +1,5 @@ -%Error: t/t_struct_notfound_bad.v:12: Member 'nfmember' not found in structure - : ... In instance t +%Error: t/t_struct_notfound_bad.v:12:9: Member 'nfmember' not found in structure + : ... In instance t s.nfmember = 0; ^~~~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_struct_unpacked_bad.out b/test_regress/t/t_struct_unpacked_bad.out index 6f32a647a..2c7c7a583 100644 --- a/test_regress/t/t_struct_unpacked_bad.out +++ b/test_regress/t/t_struct_unpacked_bad.out @@ -1,4 +1,4 @@ -%Warning-UNPACKED: t/t_struct_unpacked_bad.v:8: Unsupported: Unpacked struct/union +%Warning-UNPACKED: t/t_struct_unpacked_bad.v:8:12: Unsupported: Unpacked struct/union typedef struct { ^~~~~~ ... Use "/* verilator lint_off UNPACKED */" and lint_on around source to disable this message. diff --git a/test_regress/t/t_sys_readmem_assoc_bad.out b/test_regress/t/t_sys_readmem_assoc_bad.out index 42a2644f4..fd06ed32a 100644 --- a/test_regress/t/t_sys_readmem_assoc_bad.out +++ b/test_regress/t/t_sys_readmem_assoc_bad.out @@ -1,9 +1,9 @@ -%Error: t/t_sys_readmem_assoc_bad.v:12: $readmemb address/key must be integral (IEEE 1800-2017 21.4.1) - : ... In instance t +%Error: t/t_sys_readmem_assoc_bad.v:12:24: $readmemb address/key must be integral (IEEE 1800-2017 21.4.1) + : ... In instance t $readmemb("not", assoc_bad_key); ^~~~~~~~~~~~~ -%Error: t/t_sys_readmem_assoc_bad.v:13: Unsupported: $readmemb array values must be integral - : ... In instance t +%Error: t/t_sys_readmem_assoc_bad.v:13:24: Unsupported: $readmemb array values must be integral + : ... In instance t $readmemb("not", assoc_bad_value); ^~~~~~~~~~~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_tri_compass_bad.out b/test_regress/t/t_tri_compass_bad.out index ccfb73039..64d653460 100644 --- a/test_regress/t/t_tri_compass_bad.out +++ b/test_regress/t/t_tri_compass_bad.out @@ -1,5 +1,5 @@ -%Error: t/t_tri_compass_bad.v:15: Unsupported: tristate in top-level IO: '__pinNumber1' - : ... In instance t +%Error: t/t_tri_compass_bad.v:15:12: Unsupported: tristate in top-level IO: '__pinNumber1' + : ... In instance t sub sub(i, o); ^ %Error: Exiting due to diff --git a/test_regress/t/t_tri_pull2_bad.out b/test_regress/t/t_tri_pull2_bad.out index f8b81e684..fc24a23bc 100644 --- a/test_regress/t/t_tri_pull2_bad.out +++ b/test_regress/t/t_tri_pull2_bad.out @@ -1,8 +1,8 @@ -%Error: t/t_tri_pull2_bad.v:9: Unsupported: Conflicting pull directions. - : ... In instance t +%Error: t/t_tri_pull2_bad.v:9:11: Unsupported: Conflicting pull directions. + : ... In instance t pullup p1(A); ^~ - t/t_tri_pull2_bad.v:19: ... Location of conflicting pull. + t/t_tri_pull2_bad.v:19:13: ... Location of conflicting pull. pulldown p2(A); ^~ %Error: Exiting due to diff --git a/test_regress/t/t_tri_pull_bad.out b/test_regress/t/t_tri_pull_bad.out index 518ace295..19cab7b4e 100644 --- a/test_regress/t/t_tri_pull_bad.out +++ b/test_regress/t/t_tri_pull_bad.out @@ -1,8 +1,8 @@ -%Error: t/t_tri_pull_bad.v:10: Unsupported: Conflicting pull directions. - : ... In instance t +%Error: t/t_tri_pull_bad.v:10:13: Unsupported: Conflicting pull directions. + : ... In instance t pulldown p2(A); ^~ - t/t_tri_pull_bad.v:9: ... Location of conflicting pull. + t/t_tri_pull_bad.v:9:11: ... Location of conflicting pull. pullup p1(A); ^~ %Error: Exiting due to diff --git a/test_regress/t/t_tri_pullvec_bad.out b/test_regress/t/t_tri_pullvec_bad.out index 290cd83b8..3789fd8c8 100644 --- a/test_regress/t/t_tri_pullvec_bad.out +++ b/test_regress/t/t_tri_pullvec_bad.out @@ -1,15 +1,15 @@ -%Error: t/t_tri_pullvec_bad.v:10: Unsupported: Conflicting pull directions. - : ... In instance t +%Error: t/t_tri_pullvec_bad.v:10:13: Unsupported: Conflicting pull directions. + : ... In instance t pulldown p1 (w[1]); ^~ - t/t_tri_pullvec_bad.v:9: ... Location of conflicting pull. + t/t_tri_pullvec_bad.v:9:11: ... Location of conflicting pull. pullup p0 (w[0]); ^~ -%Error: t/t_tri_pullvec_bad.v:11: Unsupported: Conflicting pull directions. - : ... In instance t +%Error: t/t_tri_pullvec_bad.v:11:13: Unsupported: Conflicting pull directions. + : ... In instance t pulldown p2 (w[2]); ^~ - t/t_tri_pullvec_bad.v:9: ... Location of conflicting pull. + t/t_tri_pullvec_bad.v:9:11: ... Location of conflicting pull. pullup p0 (w[0]); ^~ %Error: Exiting due to diff --git a/test_regress/t/t_typedef_circ_bad.out b/test_regress/t/t_typedef_circ_bad.out index 33179862f..34c1036e8 100644 --- a/test_regress/t/t_typedef_circ_bad.out +++ b/test_regress/t/t_typedef_circ_bad.out @@ -1,4 +1,4 @@ -%Error: t/t_typedef_circ_bad.v:5: Typedef's type is circular: a_t +%Error: t/t_typedef_circ_bad.v:5:9: Typedef's type is circular: a_t typedef a_t b_t; ^~~ %Error: Exiting due to diff --git a/test_regress/t/t_udp_bad.out b/test_regress/t/t_udp_bad.out index 2ab137bee..3dbe79f17 100644 --- a/test_regress/t/t_udp_bad.out +++ b/test_regress/t/t_udp_bad.out @@ -1,4 +1,4 @@ -%Error: t/t_udp.v:103: Unsupported: Verilog 1995 UDP Tables. Use --bbox-unsup to ignore tables. +%Error: t/t_udp.v:103:4: Unsupported: Verilog 1995 UDP Tables. Use --bbox-unsup to ignore tables. table ^~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_unopt_combo_bad.out b/test_regress/t/t_unopt_combo_bad.out index 6c675c42b..993b31946 100644 --- a/test_regress/t/t_unopt_combo_bad.out +++ b/test_regress/t/t_unopt_combo_bad.out @@ -1,10 +1,10 @@ -%Warning-UNOPTFLAT: t/t_unopt_combo.v:23: Signal unoptimizable: Feedback to clock or circular logic: 't.c' +%Warning-UNOPTFLAT: t/t_unopt_combo.v:23:25: Signal unoptimizable: Feedback to clock or circular logic: 't.c' wire [31:0] c; ^ ... Use "/* verilator lint_off UNOPTFLAT */" and lint_on around source to disable this message. - t/t_unopt_combo.v:23: Example path: t.c - t/t_unopt_combo.v:80: Example path: ALWAYS - t/t_unopt_combo.v:22: Example path: t.b - t/t_unopt_combo.v:123: Example path: ALWAYS - t/t_unopt_combo.v:23: Example path: t.c + t/t_unopt_combo.v:23:25: Example path: t.c + t/t_unopt_combo.v:80:4: Example path: ALWAYS + t/t_unopt_combo.v:22:25: Example path: t.b + t/t_unopt_combo.v:123:4: Example path: ALWAYS + t/t_unopt_combo.v:23:25: Example path: t.c %Error: Exiting due to diff --git a/test_regress/t/t_unopt_converge_unopt_bad.out b/test_regress/t/t_unopt_converge_unopt_bad.out index 9e70e6ee2..d7a2d38b5 100644 --- a/test_regress/t/t_unopt_converge_unopt_bad.out +++ b/test_regress/t/t_unopt_converge_unopt_bad.out @@ -1,8 +1,8 @@ -%Warning-UNOPT: t/t_unopt_converge.v:18: Signal unoptimizable: Feedback to public clock or circular logic: 'x' +%Warning-UNOPT: t/t_unopt_converge.v:18:11: Signal unoptimizable: Feedback to public clock or circular logic: 'x' output x; ^ ... Use "/* verilator lint_off UNOPT */" and lint_on around source to disable this message. - t/t_unopt_converge.v:18: Example path: x - t/t_unopt_converge.v:21: Example path: ALWAYS - t/t_unopt_converge.v:18: Example path: x + t/t_unopt_converge.v:18:11: Example path: x + t/t_unopt_converge.v:21:4: Example path: ALWAYS + t/t_unopt_converge.v:18:11: Example path: x %Error: Exiting due to diff --git a/test_regress/t/t_unoptflat_simple_2_bad.out b/test_regress/t/t_unoptflat_simple_2_bad.out index ff0be7f54..d3f062f32 100644 --- a/test_regress/t/t_unoptflat_simple_2_bad.out +++ b/test_regress/t/t_unoptflat_simple_2_bad.out @@ -1,13 +1,13 @@ -%Warning-UNOPTFLAT: t/t_unoptflat_simple_2.v:14: Signal unoptimizable: Feedback to clock or circular logic: 't.x' +%Warning-UNOPTFLAT: t/t_unoptflat_simple_2.v:14:15: Signal unoptimizable: Feedback to clock or circular logic: 't.x' wire [2:0] x; ^ ... Use "/* verilator lint_off UNOPTFLAT */" and lint_on around source to disable this message. - t/t_unoptflat_simple_2.v:14: Example path: t.x - t/t_unoptflat_simple_2.v:16: Example path: ASSIGNW - t/t_unoptflat_simple_2.v:14: Example path: t.x + t/t_unoptflat_simple_2.v:14:15: Example path: t.x + t/t_unoptflat_simple_2.v:16:18: Example path: ASSIGNW + t/t_unoptflat_simple_2.v:14:15: Example path: t.x ... Widest candidate vars to split: - t/t_unoptflat_simple_2.v:14: t.x, width 3, fanout 10, can split_var + t/t_unoptflat_simple_2.v:14:15: t.x, width 3, fanout 10, can split_var ... Most fanned out candidate vars to split: - t/t_unoptflat_simple_2.v:14: t.x, width 3, fanout 10, can split_var + t/t_unoptflat_simple_2.v:14:15: t.x, width 3, fanout 10, can split_var ... Suggest add /*verilator split_var*/ to appropriate variables above. %Error: Exiting due to diff --git a/test_regress/t/t_unpacked_concat_bad.out b/test_regress/t/t_unpacked_concat_bad.out index 53dcbfdee..666e191a4 100644 --- a/test_regress/t/t_unpacked_concat_bad.out +++ b/test_regress/t/t_unpacked_concat_bad.out @@ -1,22 +1,22 @@ -%Error: t/t_unpacked_concat_bad.v:16: Unsupported: Replication to form 'bit[31:0]$[1:0]' data type - : ... In instance t +%Error: t/t_unpacked_concat_bad.v:16:46: Unsupported: Replication to form 'bit[31:0]$[1:0]' data type + : ... In instance t localparam bit_int_t count_bits [1:0] = {2{$bits(count_t)}}; ^ -%Warning-WIDTHCONCAT: t/t_unpacked_concat_bad.v:16: Unsized numbers/parameters not allowed in replications. - : ... In instance t +%Warning-WIDTHCONCAT: t/t_unpacked_concat_bad.v:16:47: Unsized numbers/parameters not allowed in replications. + : ... In instance t localparam bit_int_t count_bits [1:0] = {2{$bits(count_t)}}; ^~~~~ ... Use "/* verilator lint_off WIDTHCONCAT */" and lint_on around source to disable this message. -%Error: t/t_unpacked_concat_bad.v:17: Unsupported: Replication to form 'bit[31:0]$[1:0]' data type - : ... In instance t +%Error: t/t_unpacked_concat_bad.v:17:45: Unsupported: Replication to form 'bit[31:0]$[1:0]' data type + : ... In instance t localparam bit_int_t count_bitsc [1:0] = {$bits(count_t), $bits(count_t)}; ^ -%Warning-WIDTHCONCAT: t/t_unpacked_concat_bad.v:17: Unsized numbers/parameters not allowed in concatenations. - : ... In instance t +%Warning-WIDTHCONCAT: t/t_unpacked_concat_bad.v:17:46: Unsized numbers/parameters not allowed in concatenations. + : ... In instance t localparam bit_int_t count_bitsc [1:0] = {$bits(count_t), $bits(count_t)}; ^~~~~ -%Warning-WIDTHCONCAT: t/t_unpacked_concat_bad.v:17: Unsized numbers/parameters not allowed in replications. - : ... In instance t +%Warning-WIDTHCONCAT: t/t_unpacked_concat_bad.v:17:60: Unsized numbers/parameters not allowed in replications. + : ... In instance t localparam bit_int_t count_bitsc [1:0] = {$bits(count_t), $bits(count_t)}; ^ %Error: Exiting due to diff --git a/test_regress/t/t_var_bad_hide.out b/test_regress/t/t_var_bad_hide.out index 865f47ecc..a2185ba7f 100644 --- a/test_regress/t/t_var_bad_hide.out +++ b/test_regress/t/t_var_bad_hide.out @@ -1,14 +1,14 @@ -%Warning-VARHIDDEN: t/t_var_bad_hide.v:15: Declaration of signal hides declaration in upper scope: 'top' +%Warning-VARHIDDEN: t/t_var_bad_hide.v:15:14: Declaration of signal hides declaration in upper scope: 'top' output top; ^~~ - t/t_var_bad_hide.v:12: ... Location of original declaration + t/t_var_bad_hide.v:12:12: ... Location of original declaration integer top; ^~~ ... Use "/* verilator lint_off VARHIDDEN */" and lint_on around source to disable this message. -%Warning-VARHIDDEN: t/t_var_bad_hide.v:21: Declaration of signal hides declaration in upper scope: 'top' +%Warning-VARHIDDEN: t/t_var_bad_hide.v:21:18: Declaration of signal hides declaration in upper scope: 'top' integer top; ^~~ - t/t_var_bad_hide.v:12: ... Location of original declaration + t/t_var_bad_hide.v:12:12: ... Location of original declaration integer top; ^~~ %Error: Exiting due to diff --git a/test_regress/t/t_var_bad_hide2.out b/test_regress/t/t_var_bad_hide2.out index d92a97678..62d5d853d 100644 --- a/test_regress/t/t_var_bad_hide2.out +++ b/test_regress/t/t_var_bad_hide2.out @@ -1,7 +1,7 @@ -%Warning-VARHIDDEN: t/t_var_bad_hide2.v:13: Declaration of signal hides declaration in upper scope: 't' +%Warning-VARHIDDEN: t/t_var_bad_hide2.v:13:12: Declaration of signal hides declaration in upper scope: 't' integer t; ^ - t/t_var_bad_hide2.v:6: ... Location of original declaration + t/t_var_bad_hide2.v:6:8: ... Location of original declaration module t; ^ ... Use "/* verilator lint_off VARHIDDEN */" and lint_on around source to disable this message. diff --git a/test_regress/t/t_var_bad_sameas.out b/test_regress/t/t_var_bad_sameas.out index 05cc448ab..e3bc93ce8 100644 --- a/test_regress/t/t_var_bad_sameas.out +++ b/test_regress/t/t_var_bad_sameas.out @@ -1,31 +1,31 @@ -%Error: t/t_var_bad_sameas.v:9: Unsupported in C: Cell has the same name as variable: 'varfirst' +%Error: t/t_var_bad_sameas.v:9:8: Unsupported in C: Cell has the same name as variable: 'varfirst' sub varfirst (); ^~~~~~~~ - t/t_var_bad_sameas.v:8: ... Location of original declaration + t/t_var_bad_sameas.v:8:12: ... Location of original declaration integer varfirst; ^~~~~~~~ -%Error: t/t_var_bad_sameas.v:10: Unsupported in C: Task has the same name as cell: 'varfirst' +%Error: t/t_var_bad_sameas.v:10:9: Unsupported in C: Task has the same name as cell: 'varfirst' task varfirst; begin end endtask ^~~~~~~~ - t/t_var_bad_sameas.v:9: ... Location of original declaration + t/t_var_bad_sameas.v:9:8: ... Location of original declaration sub varfirst (); ^~~~~~~~ -%Error: t/t_var_bad_sameas.v:13: Unsupported in C: Variable has same name as cell: 'cellfirst' +%Error: t/t_var_bad_sameas.v:13:12: Unsupported in C: Variable has same name as cell: 'cellfirst' integer cellfirst; ^~~~~~~~~ -%Error: t/t_var_bad_sameas.v:14: Unsupported in C: Task has the same name as cell: 'cellfirst' +%Error: t/t_var_bad_sameas.v:14:9: Unsupported in C: Task has the same name as cell: 'cellfirst' task cellfirst; begin end endtask ^~~~~~~~~ - t/t_var_bad_sameas.v:12: ... Location of original declaration + t/t_var_bad_sameas.v:12:8: ... Location of original declaration sub cellfirst (); ^~~~~~~~~ -%Error: t/t_var_bad_sameas.v:17: Unsupported in C: Variable has same name as task: 'taskfirst' +%Error: t/t_var_bad_sameas.v:17:12: Unsupported in C: Variable has same name as task: 'taskfirst' integer taskfirst; ^~~~~~~~~ -%Error: t/t_var_bad_sameas.v:18: Unsupported in C: Cell has the same name as task: 'taskfirst' +%Error: t/t_var_bad_sameas.v:18:8: Unsupported in C: Cell has the same name as task: 'taskfirst' sub taskfirst (); ^~~~~~~~~ - t/t_var_bad_sameas.v:16: ... Location of original declaration + t/t_var_bad_sameas.v:16:9: ... Location of original declaration task taskfirst; begin end endtask ^~~~~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_var_bad_sv.out b/test_regress/t/t_var_bad_sv.out index c97f9325d..8b34fe2f4 100644 --- a/test_regress/t/t_var_bad_sv.out +++ b/test_regress/t/t_var_bad_sv.out @@ -1,11 +1,11 @@ -%Error: t/t_var_bad_sv.v:7: Unexpected 'do': 'do' is a SystemVerilog keyword misused as an identifier. +%Error: t/t_var_bad_sv.v:7:8: Unexpected 'do': 'do' is a SystemVerilog keyword misused as an identifier. ... Suggest modify the Verilog-2001 code to avoid SV keywords, or use `begin_keywords or --language. reg do; ^~ -%Error: t/t_var_bad_sv.v:8: Unexpected 'do': 'do' is a SystemVerilog keyword misused as an identifier. +%Error: t/t_var_bad_sv.v:8:14: Unexpected 'do': 'do' is a SystemVerilog keyword misused as an identifier. mod mod (.do(bar)); ^~ -%Error: t/t_var_bad_sv.v:8: syntax error, unexpected '(', expecting ')' +%Error: t/t_var_bad_sv.v:8:17: syntax error, unexpected '(', expecting ')' mod mod (.do(bar)); ^~~ %Error: Exiting due to diff --git a/test_regress/t/t_var_const_bad.out b/test_regress/t/t_var_const_bad.out index ac39d015c..c0525232b 100644 --- a/test_regress/t/t_var_const_bad.out +++ b/test_regress/t/t_var_const_bad.out @@ -1,5 +1,5 @@ -%Error: t/t_var_const_bad.v:16: Assigning to const variable: 'five' - : ... In instance t +%Error: t/t_var_const_bad.v:16:7: Assigning to const variable: 'five' + : ... In instance t five = 3'd4; ^~~~ %Error: Exiting due to diff --git a/test_regress/t/t_var_dotted_dup_bad.out b/test_regress/t/t_var_dotted_dup_bad.out index bcda5f416..0c0f7e1a8 100644 --- a/test_regress/t/t_var_dotted_dup_bad.out +++ b/test_regress/t/t_var_dotted_dup_bad.out @@ -1,7 +1,7 @@ -%Error: t/t_var_dotted_dup_bad.v:13: Duplicate declaration of cell: 'dccm_bank' +%Error: t/t_var_dotted_dup_bad.v:13:18: Duplicate declaration of cell: 'dccm_bank' eh2_ram dccm_bank (.*); ^~~~~~~~~ - t/t_var_dotted_dup_bad.v:10: ... Location of original declaration + t/t_var_dotted_dup_bad.v:10:18: ... Location of original declaration eh2_ram dccm_bank (.*); ^~~~~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_var_dup2_bad.out b/test_regress/t/t_var_dup2_bad.out index 2b8ad7717..04758c313 100644 --- a/test_regress/t/t_var_dup2_bad.out +++ b/test_regress/t/t_var_dup2_bad.out @@ -1,14 +1,14 @@ -%Error: t/t_var_dup2_bad.v:12: Duplicate declaration of signal: 'bad_o_w' - : ... note: ANSI ports must have type declared with the I/O (IEEE 1800-2017 23.2.2.2) +%Error: t/t_var_dup2_bad.v:12:9: Duplicate declaration of signal: 'bad_o_w' + : ... note: ANSI ports must have type declared with the I/O (IEEE 1800-2017 23.2.2.2) wire bad_o_w; ^~~~~~~ - t/t_var_dup2_bad.v:9: ... Location of original declaration + t/t_var_dup2_bad.v:9:11: ... Location of original declaration output bad_o_w, ^~~~~~~ -%Error: t/t_var_dup2_bad.v:13: Duplicate declaration of signal: 'bad_o_r' +%Error: t/t_var_dup2_bad.v:13:9: Duplicate declaration of signal: 'bad_o_r' reg bad_o_r; ^~~~~~~ - t/t_var_dup2_bad.v:10: ... Location of original declaration + t/t_var_dup2_bad.v:10:11: ... Location of original declaration output bad_o_r); ^~~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_var_dup_bad.out b/test_regress/t/t_var_dup_bad.out index 727573ca7..f817ce310 100644 --- a/test_regress/t/t_var_dup_bad.out +++ b/test_regress/t/t_var_dup_bad.out @@ -1,110 +1,110 @@ -%Error: t/t_var_dup_bad.v:16: Duplicate declaration of signal: 'a' +%Error: t/t_var_dup_bad.v:16:11: Duplicate declaration of signal: 'a' reg a; ^ - t/t_var_dup_bad.v:15: ... Location of original declaration + t/t_var_dup_bad.v:15:11: ... Location of original declaration reg a; ^ -%Error: t/t_var_dup_bad.v:19: Duplicate declaration of signal: 'l' +%Error: t/t_var_dup_bad.v:19:12: Duplicate declaration of signal: 'l' integer l; ^ - t/t_var_dup_bad.v:18: ... Location of original declaration + t/t_var_dup_bad.v:18:12: ... Location of original declaration integer l; ^ -%Error: t/t_var_dup_bad.v:22: Duplicate declaration of signal: 'b' +%Error: t/t_var_dup_bad.v:22:12: Duplicate declaration of signal: 'b' bit b; ^ - t/t_var_dup_bad.v:21: ... Location of original declaration + t/t_var_dup_bad.v:21:12: ... Location of original declaration bit b; ^ -%Error: t/t_var_dup_bad.v:25: Duplicate declaration of signal: 'o' +%Error: t/t_var_dup_bad.v:25:11: Duplicate declaration of signal: 'o' output o; ^ - t/t_var_dup_bad.v:24: ... Location of original declaration + t/t_var_dup_bad.v:24:11: ... Location of original declaration output o; ^ -%Error: t/t_var_dup_bad.v:28: Duplicate declaration of signal: 'i' +%Error: t/t_var_dup_bad.v:28:11: Duplicate declaration of signal: 'i' input i; ^ - t/t_var_dup_bad.v:27: ... Location of original declaration + t/t_var_dup_bad.v:27:11: ... Location of original declaration input i; ^ -%Error: t/t_var_dup_bad.v:31: Duplicate declaration of signal: 'oi' +%Error: t/t_var_dup_bad.v:31:11: Duplicate declaration of signal: 'oi' input oi; ^~ - t/t_var_dup_bad.v:30: ... Location of original declaration + t/t_var_dup_bad.v:30:11: ... Location of original declaration output oi; ^~ -%Error: t/t_var_dup_bad.v:38: Duplicate declaration of signal: 'org' +%Error: t/t_var_dup_bad.v:38:15: Duplicate declaration of signal: 'org' output reg org; ^~~ - t/t_var_dup_bad.v:37: ... Location of original declaration + t/t_var_dup_bad.v:37:15: ... Location of original declaration output reg org; ^~~ -%Error: t/t_var_dup_bad.v:65: Duplicate declaration of signal: 'bad_reout_port' +%Error: t/t_var_dup_bad.v:65:11: Duplicate declaration of signal: 'bad_reout_port' output bad_reout_port; ^~~~~~~~~~~~~~ - t/t_var_dup_bad.v:63: ... Location of original declaration + t/t_var_dup_bad.v:63:11: ... Location of original declaration output bad_reout_port ^~~~~~~~~~~~~~ -%Error: t/t_var_dup_bad.v:72: Duplicate declaration of signal: 'bad_rewire' - : ... note: ANSI ports must have type declared with the I/O (IEEE 1800-2017 23.2.2.2) +%Error: t/t_var_dup_bad.v:72:9: Duplicate declaration of signal: 'bad_rewire' + : ... note: ANSI ports must have type declared with the I/O (IEEE 1800-2017 23.2.2.2) wire bad_rewire; ^~~~~~~~~~ - t/t_var_dup_bad.v:69: ... Location of original declaration + t/t_var_dup_bad.v:69:16: ... Location of original declaration (output wire bad_rewire, ^~~~~~~~~~ -%Error: t/t_var_dup_bad.v:73: Duplicate declaration of signal: 'bad_rereg' +%Error: t/t_var_dup_bad.v:73:9: Duplicate declaration of signal: 'bad_rereg' reg bad_rereg; ^~~~~~~~~ - t/t_var_dup_bad.v:70: ... Location of original declaration + t/t_var_dup_bad.v:70:15: ... Location of original declaration output reg bad_rereg ^~~~~~~~~ -%Error: t/t_var_dup_bad.v:12: Duplicate declaration of port: 'oi' +%Error: t/t_var_dup_bad.v:12:7: Duplicate declaration of port: 'oi' i, oi ^~ - t/t_var_dup_bad.v:30: ... Location of original declaration + t/t_var_dup_bad.v:30:11: ... Location of original declaration output oi; ^~ -%Error: t/t_var_dup_bad.v:49: Duplicate declaration of port: 'bad_duport' +%Error: t/t_var_dup_bad.v:49:4: Duplicate declaration of port: 'bad_duport' bad_duport ^~~~~~~~~~ - t/t_var_dup_bad.v:51: ... Location of original declaration + t/t_var_dup_bad.v:51:11: ... Location of original declaration output bad_duport; ^~~~~~~~~~ -%Error: t/t_var_dup_bad.v:57: Duplicate declaration of port: 'bad_mixport' +%Error: t/t_var_dup_bad.v:57:11: Duplicate declaration of port: 'bad_mixport' output bad_mixport ^~~~~~~~~~~ - t/t_var_dup_bad.v:57: ... Location of original declaration + t/t_var_dup_bad.v:57:11: ... Location of original declaration output bad_mixport ^~~~~~~~~~~ -%Error: t/t_var_dup_bad.v:40: Can't find definition of variable: 'bad_duport' +%Error: t/t_var_dup_bad.v:40:9: Can't find definition of variable: 'bad_duport' sub0 sub0(.*); ^~~~ -%Error: t/t_var_dup_bad.v:40: Duplicate pin connection: 'bad_duport' +%Error: t/t_var_dup_bad.v:40:9: Duplicate pin connection: 'bad_duport' sub0 sub0(.*); ^~~~ - t/t_var_dup_bad.v:40: ... Location of original pin connection + t/t_var_dup_bad.v:40:9: ... Location of original pin connection sub0 sub0(.*); ^~~~ -%Error: t/t_var_dup_bad.v:41: Can't find definition of variable: 'bad_mixport' - : ... Suggested alternative: 'bad_duport' +%Error: t/t_var_dup_bad.v:41:9: Can't find definition of variable: 'bad_mixport' + : ... Suggested alternative: 'bad_duport' sub1 sub1(.*); ^~~~ -%Error: t/t_var_dup_bad.v:41: Duplicate pin connection: 'bad_mixport' +%Error: t/t_var_dup_bad.v:41:9: Duplicate pin connection: 'bad_mixport' sub1 sub1(.*); ^~~~ - t/t_var_dup_bad.v:41: ... Location of original pin connection + t/t_var_dup_bad.v:41:9: ... Location of original pin connection sub1 sub1(.*); ^~~~ -%Error: t/t_var_dup_bad.v:42: Can't find definition of variable: 'bad_reout_port' - : ... Suggested alternative: 'bad_duport' +%Error: t/t_var_dup_bad.v:42:9: Can't find definition of variable: 'bad_reout_port' + : ... Suggested alternative: 'bad_duport' sub2 sub2(.*); ^~~~ -%Error: t/t_var_dup_bad.v:43: Can't find definition of variable: 'bad_rewire' +%Error: t/t_var_dup_bad.v:43:9: Can't find definition of variable: 'bad_rewire' sub3 sub3(.*); ^~~~ -%Error: t/t_var_dup_bad.v:43: Can't find definition of variable: 'bad_rereg' - : ... Suggested alternative: 'bad_rewire' +%Error: t/t_var_dup_bad.v:43:9: Can't find definition of variable: 'bad_rereg' + : ... Suggested alternative: 'bad_rewire' sub3 sub3(.*); ^~~~ %Error: Exiting due to diff --git a/test_regress/t/t_var_in_assign_bad.out b/test_regress/t/t_var_in_assign_bad.out index cd6b4a463..efae01977 100644 --- a/test_regress/t/t_var_in_assign_bad.out +++ b/test_regress/t/t_var_in_assign_bad.out @@ -1,7 +1,7 @@ -%Error-ASSIGNIN: t/t_var_in_assign_bad.v:11: Assigning to input/const variable: 'value' +%Error-ASSIGNIN: t/t_var_in_assign_bad.v:11:16: Assigning to input/const variable: 'value' assign value = 4'h0; ^~~~~ -%Error-ASSIGNIN: t/t_var_in_assign_bad.v:20: Assigning to input/const variable: 'valueSub' +%Error-ASSIGNIN: t/t_var_in_assign_bad.v:20:16: Assigning to input/const variable: 'valueSub' assign valueSub = 4'h0; ^~~~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_var_notfound_bad.out b/test_regress/t/t_var_notfound_bad.out index 44bae4abf..1818921cc 100644 --- a/test_regress/t/t_var_notfound_bad.out +++ b/test_regress/t/t_var_notfound_bad.out @@ -1,24 +1,24 @@ -%Error: t/t_var_notfound_bad.v:17: Can't find definition of variable: 'nf' +%Error: t/t_var_notfound_bad.v:17:7: Can't find definition of variable: 'nf' nf = 0; ^~ -%Error: t/t_var_notfound_bad.v:18: Can't find definition of 'subsubz' in dotted scope/variable: 'sub.subsubz' +%Error: t/t_var_notfound_bad.v:18:11: Can't find definition of 'subsubz' in dotted scope/variable: 'sub.subsubz' sub.subsubz.inss = 0; ^~~~~~~ ... Known scopes under 'sub': subsub -%Error: t/t_var_notfound_bad.v:19: Can't find definition of task/function: 'nofunc' - : ... Suggested alternative: 'notfunc' +%Error: t/t_var_notfound_bad.v:19:11: Can't find definition of task/function: 'nofunc' + : ... Suggested alternative: 'notfunc' i = nofunc(); ^~~~~~ -%Error: t/t_var_notfound_bad.v:20: Can't find definition of 'nofuncs' in dotted task/function: 'sub.nofuncs' - : ... Suggested alternative: 'notfuncs' +%Error: t/t_var_notfound_bad.v:20:15: Can't find definition of 'nofuncs' in dotted task/function: 'sub.nofuncs' + : ... Suggested alternative: 'notfuncs' i = sub.nofuncs(); ^~~~~~~ ... Known scopes under 'nofuncs': sub -%Error: t/t_var_notfound_bad.v:21: Can't find definition of task/function: 'notask' - : ... Suggested alternative: 'nottask' +%Error: t/t_var_notfound_bad.v:21:7: Can't find definition of task/function: 'notask' + : ... Suggested alternative: 'nottask' notask(); ^~~~~~ -%Error: t/t_var_notfound_bad.v:22: Found definition of 'a_var' as a VAR but expected a task/function +%Error: t/t_var_notfound_bad.v:22:7: Found definition of 'a_var' as a VAR but expected a task/function a_var(); ^~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_var_port2_bad.out b/test_regress/t/t_var_port2_bad.out index 2ca15102a..2de57aa57 100644 --- a/test_regress/t/t_var_port2_bad.out +++ b/test_regress/t/t_var_port2_bad.out @@ -1,7 +1,7 @@ -%Error: t/t_var_port2_bad.v:6: Input/output/inout declaration not found for port: 'portwithoin' +%Error: t/t_var_port2_bad.v:6:11: Input/output/inout declaration not found for port: 'portwithoin' module t (portwithoin); ^~~~~~~~~~~ -%Error: t/t_var_port2_bad.v:7: Input/output/inout does not appear in port list: 'portwithin' +%Error: t/t_var_port2_bad.v:7:10: Input/output/inout does not appear in port list: 'portwithin' input portwithin; ^~~~~~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_var_port_bad.out b/test_regress/t/t_var_port_bad.out index a0fda600c..9ce0400ee 100644 --- a/test_regress/t/t_var_port_bad.out +++ b/test_regress/t/t_var_port_bad.out @@ -1,4 +1,4 @@ -%Error: t/t_var_port_bad.v:15: Input/output/inout does not appear in port list: 'b' +%Error: t/t_var_port_bad.v:15:13: Input/output/inout does not appear in port list: 'b' input a, b; ^ %Error: Exiting due to diff --git a/test_regress/t/t_var_ref_bad1.out b/test_regress/t/t_var_ref_bad1.out index 32bece252..8f5dd3aa6 100644 --- a/test_regress/t/t_var_ref_bad1.out +++ b/test_regress/t/t_var_ref_bad1.out @@ -1,5 +1,5 @@ -%Error: t/t_var_ref_bad1.v:13: Ref connection 'bad_sub_ref' requires matching types; ref requires 'real' data type but connection is 'bit' data type. - : ... In instance t +%Error: t/t_var_ref_bad1.v:13:8: Ref connection 'bad_sub_ref' requires matching types; ref requires 'real' data type but connection is 'bit' data type. + : ... In instance t (.bad_sub_ref(bad_parent)); ^~~~~~~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_var_ref_bad2.out b/test_regress/t/t_var_ref_bad2.out index 0a9591591..317ce7d97 100644 --- a/test_regress/t/t_var_ref_bad2.out +++ b/test_regress/t/t_var_ref_bad2.out @@ -1,9 +1,9 @@ -%Error: t/t_var_ref_bad2.v:12: Assigning to const ref variable: 'bad_const_set' - : ... In instance t +%Error: t/t_var_ref_bad2.v:12:7: Assigning to const ref variable: 'bad_const_set' + : ... In instance t bad_const_set = 32'h4567; ^~~~~~~~~~~~~ -%Error: t/t_var_ref_bad2.v:22: Ref argument requires matching types; port 'int_ref' requires VAR 'int_ref' but connection is VARREF 'bad_non_int'. - : ... In instance t +%Error: t/t_var_ref_bad2.v:22:17: Ref argument requires matching types; port 'int_ref' requires VAR 'int_ref' but connection is VARREF 'bad_non_int'. + : ... In instance t checkset2(bad_non_int); ^~~~~~~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_var_ref_bad3.out b/test_regress/t/t_var_ref_bad3.out index 78118fc6d..ceebdcf74 100644 --- a/test_regress/t/t_var_ref_bad3.out +++ b/test_regress/t/t_var_ref_bad3.out @@ -1,4 +1,4 @@ -%Error: t/t_var_ref_bad3.v:9: Unsupported: ref/const ref as primary input/output: 'bad_primary_ref' +%Error: t/t_var_ref_bad3.v:9:18: Unsupported: ref/const ref as primary input/output: 'bad_primary_ref' module t(ref int bad_primary_ref ^~~~~~~~~~~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_var_rsvd_bad.out b/test_regress/t/t_var_rsvd_bad.out index 833353c9d..de71cd46b 100644 --- a/test_regress/t/t_var_rsvd_bad.out +++ b/test_regress/t/t_var_rsvd_bad.out @@ -1,9 +1,9 @@ -%Warning-SYMRSVDWORD: t/t_var_rsvd_port.v:11: Symbol matches C++ keyword: 'bool' +%Warning-SYMRSVDWORD: t/t_var_rsvd_port.v:11:10: Symbol matches C++ keyword: 'bool' input bool; ^~~~ ... Use "/* verilator lint_off SYMRSVDWORD */" and lint_on around source to disable this message. -%Warning-SYMRSVDWORD: t/t_var_rsvd_port.v:14: Symbol matches C++ keyword: 'switch' - : ... In instance t +%Warning-SYMRSVDWORD: t/t_var_rsvd_port.v:14:9: Symbol matches C++ keyword: 'switch' + : ... In instance t reg switch /*verilator public*/ ; ^~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_var_suggest_bad.out b/test_regress/t/t_var_suggest_bad.out index e861eadd6..272933e83 100644 --- a/test_regress/t/t_var_suggest_bad.out +++ b/test_regress/t/t_var_suggest_bad.out @@ -1,9 +1,9 @@ -%Error: t/t_var_suggest_bad.v:12: Can't find definition of variable: 'foobat' - : ... Suggested alternative: 'foobar' +%Error: t/t_var_suggest_bad.v:12:11: Can't find definition of variable: 'foobat' + : ... Suggested alternative: 'foobar' if (foobat) $stop; ^~~~~~ -%Error: t/t_var_suggest_bad.v:13: Can't find definition of task/function: 'boobat' - : ... Suggested alternative: 'boobar' +%Error: t/t_var_suggest_bad.v:13:7: Can't find definition of task/function: 'boobat' + : ... Suggested alternative: 'boobar' boobat; ^~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_var_types_bad.out b/test_regress/t/t_var_types_bad.out index 9dbd0471d..f800ae2d9 100644 --- a/test_regress/t/t_var_types_bad.out +++ b/test_regress/t/t_var_types_bad.out @@ -1,36 +1,36 @@ -%Error: t/t_var_types_bad.v:38: Illegal bit or array select; type does not have a bit range, or bad dimension: data type is 'bit' - : ... In instance t +%Error: t/t_var_types_bad.v:38:13: Illegal bit or array select; type does not have a bit range, or bad dimension: data type is 'bit' + : ... In instance t d_bitz[0] = 1'b1; ^ -%Error: t/t_var_types_bad.v:39: Illegal bit or array select; type does not have a bit range, or bad dimension: data type is 'logic' - : ... In instance t +%Error: t/t_var_types_bad.v:39:15: Illegal bit or array select; type does not have a bit range, or bad dimension: data type is 'logic' + : ... In instance t d_logicz[0] = 1'b1; ^ -%Error: t/t_var_types_bad.v:40: Illegal bit or array select; type does not have a bit range, or bad dimension: data type is 'logic' - : ... In instance t +%Error: t/t_var_types_bad.v:40:13: Illegal bit or array select; type does not have a bit range, or bad dimension: data type is 'logic' + : ... In instance t d_regz[0] = 1'b1; ^ -%Error: t/t_var_types_bad.v:45: Illegal bit or array select; type does not have a bit range, or bad dimension: data type is 'real' - : ... In instance t +%Error: t/t_var_types_bad.v:45:13: Illegal bit or array select; type does not have a bit range, or bad dimension: data type is 'real' + : ... In instance t d_real[0] = 1'b1; ^ -%Error: t/t_var_types_bad.v:45: Expected integral (non-real) input to SEL - : ... In instance t +%Error: t/t_var_types_bad.v:45:7: Expected integral (non-real) input to SEL + : ... In instance t d_real[0] = 1'b1; ^~~~~~ -%Warning-REALCVT: t/t_var_types_bad.v:45: Implicit conversion of real to integer +%Warning-REALCVT: t/t_var_types_bad.v:45:7: Implicit conversion of real to integer d_real[0] = 1'b1; ^~~~~~ ... Use "/* verilator lint_off REALCVT */" and lint_on around source to disable this message. -%Error: t/t_var_types_bad.v:46: Illegal bit or array select; type does not have a bit range, or bad dimension: data type is 'real' - : ... In instance t +%Error: t/t_var_types_bad.v:46:17: Illegal bit or array select; type does not have a bit range, or bad dimension: data type is 'real' + : ... In instance t d_realtime[0] = 1'b1; ^ -%Error: t/t_var_types_bad.v:46: Expected integral (non-real) input to SEL - : ... In instance t +%Error: t/t_var_types_bad.v:46:7: Expected integral (non-real) input to SEL + : ... In instance t d_realtime[0] = 1'b1; ^~~~~~~~~~ -%Warning-REALCVT: t/t_var_types_bad.v:46: Implicit conversion of real to integer +%Warning-REALCVT: t/t_var_types_bad.v:46:7: Implicit conversion of real to integer d_realtime[0] = 1'b1; ^~~~~~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_vlt_syntax_bad.out b/test_regress/t/t_vlt_syntax_bad.out index a33d90118..6962fac8f 100644 --- a/test_regress/t/t_vlt_syntax_bad.out +++ b/test_regress/t/t_vlt_syntax_bad.out @@ -1,7 +1,7 @@ -%Error: t/t_vlt_syntax_bad.vlt:8: sensitivity not expected for attribute +%Error: t/t_vlt_syntax_bad.vlt:8:20: sensitivity not expected for attribute public -module "t" @(posedge clk) ^ -%Error: t/t_vlt_syntax_bad.vlt:9: isolate_assignments only applies to signals or functions/tasks +%Error: t/t_vlt_syntax_bad.vlt:9:1: isolate_assignments only applies to signals or functions/tasks isolate_assignments -module "t" ^~~~~~~~~~~~~~~~~~~ %Error: Exiting due to diff --git a/test_regress/t/t_vlt_warn_bad.out b/test_regress/t/t_vlt_warn_bad.out index 0a847a31a..f39949344 100644 --- a/test_regress/t/t_vlt_warn_bad.out +++ b/test_regress/t/t_vlt_warn_bad.out @@ -1,4 +1,4 @@ -%Warning-DEPRECATED: t/t_vlt_warn_bad.vlt:11: Deprecated -msg in configuration files, use -rule instead. +%Warning-DEPRECATED: t/t_vlt_warn_bad.vlt:11:10: Deprecated -msg in configuration files, use -rule instead. lint_off -msg WIDTH -file "*/t_vlt_warn.v" -lines 19-19 ^~~~ ... Use "/* verilator lint_off DEPRECATED */" and lint_on around source to disable this message. diff --git a/test_regress/t/t_wire_beh1364_bad.out b/test_regress/t/t_wire_beh1364_bad.out index 9f8606a6a..e38cc2af0 100644 --- a/test_regress/t/t_wire_beh1364_bad.out +++ b/test_regress/t/t_wire_beh1364_bad.out @@ -1,21 +1,21 @@ -%Error-PROCASSWIRE: t/t_wire_beh1364_bad.v:24: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'w' - : ... In instance t +%Error-PROCASSWIRE: t/t_wire_beh1364_bad.v:24:7: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'w' + : ... In instance t w = '0; ^ -%Error-PROCASSWIRE: t/t_wire_beh1364_bad.v:25: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'o' - : ... In instance t +%Error-PROCASSWIRE: t/t_wire_beh1364_bad.v:25:7: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'o' + : ... In instance t o = '0; ^ -%Error-PROCASSWIRE: t/t_wire_beh1364_bad.v:26: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'oa' - : ... In instance t +%Error-PROCASSWIRE: t/t_wire_beh1364_bad.v:26:7: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'oa' + : ... In instance t oa = '0; ^~ -%Error-PROCASSWIRE: t/t_wire_beh1364_bad.v:27: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'wo' - : ... In instance t +%Error-PROCASSWIRE: t/t_wire_beh1364_bad.v:27:7: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'wo' + : ... In instance t wo = '0; ^~ -%Error-PROCASSWIRE: t/t_wire_beh1364_bad.v:28: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'woa' - : ... In instance t +%Error-PROCASSWIRE: t/t_wire_beh1364_bad.v:28:7: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'woa' + : ... In instance t woa = '0; ^~~ %Error: Exiting due to diff --git a/test_regress/t/t_wire_beh1800_bad.out b/test_regress/t/t_wire_beh1800_bad.out index 0d07bcd89..d08c55be8 100644 --- a/test_regress/t/t_wire_beh1800_bad.out +++ b/test_regress/t/t_wire_beh1800_bad.out @@ -1,21 +1,21 @@ -%Error-PROCASSWIRE: t/t_wire_beh1800_bad.v:24: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'w' - : ... In instance t +%Error-PROCASSWIRE: t/t_wire_beh1800_bad.v:24:7: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'w' + : ... In instance t w = '0; ^ -%Error-PROCASSWIRE: t/t_wire_beh1800_bad.v:25: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'o' - : ... In instance t +%Error-PROCASSWIRE: t/t_wire_beh1800_bad.v:25:7: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'o' + : ... In instance t o = '0; ^ -%Error-PROCASSWIRE: t/t_wire_beh1800_bad.v:26: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'oa' - : ... In instance t +%Error-PROCASSWIRE: t/t_wire_beh1800_bad.v:26:7: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'oa' + : ... In instance t oa = '0; ^~ -%Error-PROCASSWIRE: t/t_wire_beh1800_bad.v:27: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'wo' - : ... In instance t +%Error-PROCASSWIRE: t/t_wire_beh1800_bad.v:27:7: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'wo' + : ... In instance t wo = '0; ^~ -%Error-PROCASSWIRE: t/t_wire_beh1800_bad.v:28: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'woa' - : ... In instance t +%Error-PROCASSWIRE: t/t_wire_beh1800_bad.v:28:7: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'woa' + : ... In instance t woa = '0; ^~~ %Error: Exiting due to diff --git a/test_regress/t/t_wire_behp1364_bad.out b/test_regress/t/t_wire_behp1364_bad.out index 824dd2350..e0839a3be 100644 --- a/test_regress/t/t_wire_behp1364_bad.out +++ b/test_regress/t/t_wire_behp1364_bad.out @@ -1,13 +1,13 @@ -%Error-PROCASSWIRE: t/t_wire_behp1364_bad.v:22: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'w' - : ... In instance t +%Error-PROCASSWIRE: t/t_wire_behp1364_bad.v:22:7: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'w' + : ... In instance t w = '0; ^ -%Error-PROCASSWIRE: t/t_wire_behp1364_bad.v:23: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'o' - : ... In instance t +%Error-PROCASSWIRE: t/t_wire_behp1364_bad.v:23:7: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'o' + : ... In instance t o = '0; ^ -%Error-PROCASSWIRE: t/t_wire_behp1364_bad.v:24: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'oa' - : ... In instance t +%Error-PROCASSWIRE: t/t_wire_behp1364_bad.v:24:7: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'oa' + : ... In instance t oa = '0; ^~ %Error: Exiting due to diff --git a/test_regress/t/t_wire_behp1800_bad.out b/test_regress/t/t_wire_behp1800_bad.out index 70434db94..1cfba6c3d 100644 --- a/test_regress/t/t_wire_behp1800_bad.out +++ b/test_regress/t/t_wire_behp1800_bad.out @@ -1,13 +1,13 @@ -%Error-PROCASSWIRE: t/t_wire_behp1800_bad.v:22: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'w' - : ... In instance t +%Error-PROCASSWIRE: t/t_wire_behp1800_bad.v:22:7: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'w' + : ... In instance t w = '0; ^ -%Error-PROCASSWIRE: t/t_wire_behp1800_bad.v:23: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'o' - : ... In instance t +%Error-PROCASSWIRE: t/t_wire_behp1800_bad.v:23:7: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'o' + : ... In instance t o = '0; ^ -%Error-PROCASSWIRE: t/t_wire_behp1800_bad.v:24: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'oa' - : ... In instance t +%Error-PROCASSWIRE: t/t_wire_behp1800_bad.v:24:7: Procedural assignment to wire, perhaps intended var (IEEE 1800-2017 6.5): 'oa' + : ... In instance t oa = '0; ^~ %Error: Exiting due to