verilator/test_regress/t/t_interface_gen9.v
Todd Strader 5e54d3e41a Fix interface inside generate, bug1001, bug1003.
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2015-12-05 19:39:40 -05:00

33 lines
651 B
Verilog

// DESCRIPTION: Verilator: Verilog Test module
//
// This file ONLY is placed into the Public Domain, for any use,
// without warranty.
// bug998
module t1(input logic foo);
initial begin
$display("%m %d", foo);
end
endmodule
module t();
logic [1:0] my_foo;
generate
genvar the_genvar;
for (the_genvar = 0; the_genvar < 2; the_genvar++) begin : TestIf
//logic tmp_foo;
//assign tmp_foo = my_foo[the_genvar];
t1 t (.foo(my_foo[the_genvar]));
//t1 t (.foo(tmp_foo));
end
endgenerate
initial begin
$write("*-* All Finished *-*\n");
$finish;
end
endmodule