mirror of
https://github.com/verilator/verilator.git
synced 2025-01-07 15:17:36 +00:00
54bc8608e3
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
40 lines
926 B
Verilog
40 lines
926 B
Verilog
// DESCRIPTION: Verilator: Verilog Test module
|
|
//
|
|
// This file ONLY is placed into the Public Domain, for any use,
|
|
// without warranty, 2017 by Todd Strader.
|
|
|
|
module t;
|
|
|
|
typedef struct packed {
|
|
logic [ 31 : 0 ] a;
|
|
logic [ 31 : 0 ] b;
|
|
} params_t;
|
|
|
|
localparam params_t P = '{a:5, b:1};
|
|
localparam P6 = f_add(P);
|
|
localparam P14 = f_add2(2, 3, f_add(P));
|
|
localparam P24 = f_add2(7, 8, 9);
|
|
|
|
initial begin
|
|
// Should never get here
|
|
$write("*-* All Finished *-*\n");
|
|
$finish;
|
|
end
|
|
|
|
function integer f_add(input params_t params);
|
|
f_add = params.a+params.b;
|
|
if (f_add == 15)
|
|
$fatal(2, "f_add = 15");
|
|
endfunction
|
|
|
|
// Speced ok: function called from function
|
|
function integer f_add2(input [31:0] a, input [31:0] b, input [31:0] c);
|
|
params_t params;
|
|
params = '{
|
|
a: a,
|
|
b: b
|
|
};
|
|
f_add2 = f_add(params)+c;
|
|
endfunction
|
|
endmodule
|