mirror of
https://github.com/verilator/verilator.git
synced 2025-04-06 04:32:39 +00:00
33 lines
609 B
Systemverilog
33 lines
609 B
Systemverilog
// DESCRIPTION: Verilator: SystemVerilog interface test module
|
|
//
|
|
// This file ONLY is placed into the Public Domain, for any use,
|
|
// without warranty, 2012 by Iztok Jeras.
|
|
// SPDX-License-Identifier: CC0-1.0
|
|
|
|
interface intf();
|
|
|
|
function automatic string get_scope;
|
|
string the_scope = $sformatf("%m");
|
|
return the_scope;
|
|
endfunction
|
|
|
|
initial $display(get_scope());
|
|
endinterface
|
|
|
|
module t (/*AUTOARG*/
|
|
// Inputs
|
|
clk
|
|
);
|
|
|
|
input clk;
|
|
|
|
// finish report
|
|
always @ (posedge clk) begin
|
|
$write("*-* All Finished *-*\n");
|
|
$finish;
|
|
end
|
|
|
|
intf the_intf();
|
|
|
|
endmodule
|