mirror of
https://github.com/verilator/verilator.git
synced 2025-01-05 22:27:35 +00:00
80b08b71aa
For NBAs that might execute a dynamic number of times in a single evaluation (specifically: those that assign to array elements inside loops), we introduce a new run-time VlNBACommitQueue data-structure (currently a vector), which stores all pending updates and the necessary info to reconstruct the LHS reference of the AstAssignDly at run-time. All variables needing a commit queue has their corresponding unique commit queue. All NBAs to a variable that requires a commit queue go through the commit queue. This is necessary to preserve update order in sequential code, e.g.: a[7] <= 10 for (int i = 1 ; i < 10; ++i) a[i] <= i; a[2] <= 10 needs to end with array elements 1..9 being 1, 10, 3, 4, 5, 6, 7, 8, 9. This enables supporting common forms of NBAs to arrays on the left hand side of <= in non-suspendable/non-fork code. (Suspendable/fork implementation is unclear to me so I left it unchanged, see #5084). Any NBA that does not need a commit queue (i.e.: those that were supported before), use the same scheme as before, and this patch should have no effect on the generated code for those NBAs.
54 lines
1.2 KiB
Systemverilog
54 lines
1.2 KiB
Systemverilog
// DESCRIPTION: Verilator: Test of select from constant
|
|
//
|
|
// This file ONLY is placed under the Creative Commons Public Domain, for
|
|
// any use, without warranty, 2020 by Wilson Snyder.
|
|
// SPDX-License-Identifier: CC0-1.0
|
|
|
|
// verilator lint_off MULTIDRIVEN
|
|
|
|
module t (/*AUTOARG*/
|
|
// Outputs
|
|
o,
|
|
// Inputs
|
|
clk
|
|
);
|
|
input clk;
|
|
output int o;
|
|
|
|
localparam SIZE = 65536;
|
|
|
|
// Unsupported case 1: Array NBA inside suspendable
|
|
int array1 [SIZE];
|
|
always @ (posedge clk) begin
|
|
#1;
|
|
o <= array1[1];
|
|
for (int i=0; i<SIZE; i++) begin
|
|
array1[i] <= 0; // BLKLOOPINIT
|
|
end
|
|
end
|
|
|
|
// Unsupported case 2: Array NBA to compund type
|
|
class C; endclass
|
|
C array2[SIZE];
|
|
always @ (negedge clk) begin
|
|
o <= int'(array2[1] == null);
|
|
for (int i=0; i<SIZE; i++) begin
|
|
array2[i] <= null; // BLKLOOPINIT
|
|
end
|
|
end
|
|
|
|
// Unsupported case 3: Array NBA to array also assigned in suspendable
|
|
int array3 [SIZE];
|
|
always @ (posedge clk) begin
|
|
o <= array3[1];
|
|
for (int i=0; i<SIZE; i++) begin
|
|
array3[i] <= 0; // BLKLOOPINIT
|
|
end
|
|
end
|
|
|
|
always @(posedge clk) begin
|
|
#1 array3[0] <= 0;
|
|
end
|
|
|
|
endmodule
|