mirror of
https://github.com/verilator/verilator.git
synced 2025-01-07 15:17:36 +00:00
41 lines
644 B
Verilog
41 lines
644 B
Verilog
// DESCRIPTION: Verilator: Connecting an interface array slice to a module's portmap
|
|
//
|
|
// This file ONLY is placed into the Public Domain, for any use,
|
|
// without warranty, 2015 by Todd Strader.
|
|
|
|
interface foo_intf;
|
|
logic a;
|
|
|
|
modport m(input a);
|
|
endinterface
|
|
|
|
module foo_mod
|
|
(
|
|
foo_intf foo,
|
|
foo_intf.m bars[4]
|
|
);
|
|
endmodule
|
|
|
|
module t (/*AUTOARG*/);
|
|
|
|
localparam N = 4;
|
|
|
|
foo_intf foos [N-1:0] ();
|
|
foo_intf bars [N] ();
|
|
//foo_intf foos ();
|
|
|
|
foo_mod
|
|
foo_mod
|
|
(
|
|
.foo (foos[2]),
|
|
.bars (bars)
|
|
//.foo (foos)
|
|
);
|
|
|
|
initial begin
|
|
$write("*-* All Finished *-*\n");
|
|
$finish;
|
|
end
|
|
|
|
endmodule
|