diff --git a/Makefile.in b/Makefile.in index ecc9f64e3..642802c3e 100644 --- a/Makefile.in +++ b/Makefile.in @@ -475,10 +475,12 @@ clang-format: YAPF = yapf3 YAPF_FLAGS = -i YAPF_FILES = \ - nodist/fuzzer/actual_fail \ - nodist/fuzzer/generate_dictionary \ examples/xml_py/vl_file_copy \ examples/xml_py/vl_hier_graph \ + nodist/dot_importer \ + nodist/fuzzer/actual_fail \ + nodist/fuzzer/generate_dictionary \ + nodist/install_test \ yapf: $(YAPF) $(YAPF_FLAGS) $(YAPF_FILES) diff --git a/nodist/dot_importer b/nodist/dot_importer index d44d02f61..58495a167 100755 --- a/nodist/dot_importer +++ b/nodist/dot_importer @@ -1,164 +1,109 @@ -#!/usr/bin/env perl -# See copyright, etc in below POD section. +#!/usr/bin/env python3 ###################################################################### -use warnings; -use Getopt::Long; -use IO::File; -use Pod::Usage; -use Data::Dumper; $Data::Dumper::Indent=1; -use strict; -use vars qw($Debug); +import argparse +import re -#====================================================================== +###################################################################### -our @Header; -our %Vertexes; -our @Edges; -our %Edges; +Header = [] +Vertexes = [] +Edges = [] -#====================================================================== +####################################################################### + + +def dotread(filename): + with open(filename) as fh: + header = True + vnum = 0 + + vertex_re = re.compile(r'^\t([a-zA-Z0-9_]+)\t(.*)$') + edge_re = re.compile( + r'^\t([a-zA-Z0-9_]+)\s+->\s+([a-zA-Z0-9_]+)\s*(.*)$') + + for line in fh: + vertex_match = re.search(vertex_re, line) + edge_match = re.search(edge_re, line) + if vertex_match: + if vertex_match.group(1) != 'nTITLE': + header = False + Vertexes.append({ + 'num': vnum, + 'line': line, + 'name': vertex_match.group(1) + }) + vnum += 1 + elif edge_match: + fromv = edge_match.group(1) + tov = edge_match.group(2) + w = re.match(r'weight=(\d+)', line) + weight = w.group(1) if w else 1 + w = re.match(r'style=(\S+)', line) + cutable = w.group(1) if w else None + edge = { + 'num': vnum, + 'line': line, + 'weight': weight, + 'cutable': cutable, + 'from': fromv, + 'to': tov + } + vnum += 1 + Edges.append(edge) + elif header: + Header.append(line) + print("IGNORE: " + line) + + +####################################################################### + + +def cwrite(filename): + with open(filename, "w") as fh: + fh.write("void V3GraphTestImport::dotImport() {\n") + fh.write(" auto* gp = &m_graph;\n") + for ver in sorted(Vertexes, key=lambda ver: ver['num']): + fh.write( + " auto* %s = new V3GraphTestVertex(gp, \"%s\"); if (%s) {}\n" + % (ver['name'], ver['name'], ver['name'])) + fh.write("\n") + for edge in Edges: + fh.write(" new V3GraphEdge(gp, %s, %s, %s, %s);\n" % + (edge['from'], edge['to'], edge['weight'], + "true" if edge['cutable'] else "false")) + fh.write("}\n") + + +###################################################################### # main -$Debug = 0; -my $opt_filename; -autoflush STDOUT 1; -autoflush STDERR 1; -if (! GetOptions( - "help" => \&usage, - "debug" => \&debug, - "<>" => \¶meter, - )) { - usage(); -} - -dotread($opt_filename); -cwrite("graph_export.cpp"); - -#---------------------------------------------------------------------- - -sub usage { - pod2usage(-verbose=>2, -exitval=>0, -output=>\*STDOUT); - exit(1); # Unreachable -} - -sub debug { - $Debug = 1; -} - -sub parameter { - my $param = shift; - if (!$opt_filename) { - $opt_filename = $param; - } else { - die "%Error: Unknown parameter: $param\n"; - } -} - -####################################################################### - -sub dotread { - my $filename = shift; - - my $fh = IO::File->new($filename) or die "%Error: $! $filename,"; - my $header = 1; - my $vnum = 0; - while (defined (my $line = $fh->getline)) { - if ($line =~ /^\t([a-zA-Z0-9_]+)\t(.*)$/) { - next if $1 eq 'nTITLE'; - $header = 0; - $Vertexes{$1} = {num => $vnum++, - line => $line, - name => $1,}; - } - elsif ($line =~ /^\t([a-zA-Z0-9_]+)\s+->\s+([a-zA-Z0-9_]+)\s+(.*)$/) { - my $from=$1; my $to=$2; - my $weight = 1; $weight = $1 if $line =~ /weight=(\d+)/; - my $cutable = undef; $cutable = $1 if $line =~ /style=(\S+)/; - my $edge = {num => $vnum++, - line => $line, - weight => $weight, - cutable => $cutable, - from => $from, - to => $to,}; - push @Edges, $edge; - $Edges{$from}{$to} = $edge; - } - elsif ($header) { - push @Header, $line; - print "IGNORE: $line"; - } - } -} - -####################################################################### - -sub cwrite { - my $filename = shift; - - my $fh = IO::File->new(">$filename") or die "%Error: $! $filename,"; - $fh->print("void V3GraphTestImport::dotImport() {\n"); - $fh->print(" DfaGraph* gp = &m_graph;\n"); - foreach my $ver (sort {$a->{num} <=> $b->{num}} (values %Vertexes)) { - $fh->printf(" V3GraphTestVertex* %s = new V3GraphTestVertex(gp, \"%s\"); if (%s) {}\n", - $ver->{name}, $ver->{name}, $ver->{name}); - } - $fh->print("\n"); - foreach my $edge (@Edges) { - $fh->printf(" new V3GraphEdge(gp, %s, %s, %s, %s);\n", - $edge->{from}, $edge->{to}, - $edge->{weight}, $edge->{cutable}?"true":"false"); - } - $fh->print("}\n"); -} - -####################################################################### -__END__ - -=pod - -=head1 NAME - -dot_importer - Take graph .dot file and convert into .cpp file - -=head1 SYNOPSIS - - dot_importer a.dot - -=head1 DESCRIPTION - -Dot_importer takes a graphvis .dot file and converts into .cpp file. This -.cpp file is then manually included in V3GraphTest.cpp to verify various -sub-algorithms. - -=head1 ARGUMENTS - -=over 4 - -=item --help - -Displays this message and program version and exits. - -=back - -=head1 DISTRIBUTION - -Copyright 2005-2020 by Wilson Snyder. This program is free software; you +parser = argparse.ArgumentParser( + allow_abbrev=False, + formatter_class=argparse.RawDescriptionHelpFormatter, + description= + """dot_importer takes a graphvis .dot file and converts into .cpp file. +This x.cpp file is then manually included in V3GraphTest.cpp to verify +various xsub-algorithms.""", + epilog= + """Copyright 2005-2020 by Wilson Snyder. This program is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. -SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0 +SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0""") -=head1 AUTHORS +parser.add_argument('--debug', + action='store_const', + const=9, + help='enable debug') +parser.add_argument('filename', help='input .dot filename to process') -Wilson Snyder - -=head1 SEE ALSO - -=cut +Args = parser.parse_args() +dotread(Args.filename) +cwrite("graph_export.cpp") ###################################################################### ### Local Variables: -### compile-command: "./dot_importer | tee ~/d/a.dot" +### compile-command: "./dot_importer ../test_regress/obj_vlt/t_EXAMPLE/*orderg_o*.dot && cat graph_export.cpp" ### End: diff --git a/nodist/install_test b/nodist/install_test index edc59f8c8..a5d9758f6 100755 --- a/nodist/install_test +++ b/nodist/install_test @@ -1,211 +1,140 @@ -#!/usr/bin/env perl -# See copyright, etc in below POD section. +#!/usr/bin/env python3 ###################################################################### -use warnings; -use Getopt::Long; -use Cwd; -use IO::File; -use Pod::Usage; -use strict; -use vars qw($Debug); +import argparse +import multiprocessing +import os +import shutil +import subprocess -#====================================================================== -# main +###################################################################### -our $Opt_Stage = 0; -our $Opt_Jobs = calc_jobs(); -autoflush STDOUT 1; -autoflush STDERR 1; -Getopt::Long::config("no_auto_abbrev"); -if (! GetOptions( - "debug" => sub { $Debug = 1; }, - "<>" => sub { die "%Error: Unknown parameter: $_[0]\n"; }, - "stage=i" => \$Opt_Stage, - "j=i" => \$Opt_Jobs, - )) { - die "%Error: Bad usage, try 'install_test --help'\n"; -} +def test(): + if not os.path.exists("nodist/install_test"): + sys.exit("%Error: Run from the top of the verilator kit") -test(); -exit(0); - -####################################################################### - -sub test { - -r "nodist/install_test" or die "%Error: Run from the top of the verilator kit,"; - - cleanenv(); - run("make distclean") if -r "Makefile"; + cleanenv() + if os.path.exists("Makefile"): + run("make distclean") # Try building from a scratch area - my $srcdir = getcwd(); - my $blddir = $srcdir."/test_regress/obj_dir/install_test_bld"; - my $prefix = $srcdir."/test_regress/obj_dir/install_test_prefix"; - my $testdirp= $srcdir."/test_regress/obj_dir/install_test_testp"; - my $testdirn= $srcdir."/test_regress/obj_dir/install_test_testn"; + srcdir = os.getcwd() + blddir = srcdir + "/test_regress/obj_dir/install_test_bld" + prefix = srcdir + "/test_regress/obj_dir/install_test_prefix" + testdirp = srcdir + "/test_regress/obj_dir/install_test_testp" + testdirn = srcdir + "/test_regress/obj_dir/install_test_testn" - if ($Opt_Stage <= 0) { - run("/bin/rm -rf $blddir"); - run("/bin/mkdir -p $blddir"); - run("cd $blddir && $srcdir/configure --prefix $prefix"); - run("cd $blddir && make -j $Opt_Jobs"); - } + if Args.stage <= 0: + print("== stage 0") + run("/bin/rm -rf " + blddir) + run("/bin/mkdir -p " + blddir) + run("cd " + blddir + " && " + srcdir + "/configure --prefix " + prefix) + run("cd " + blddir + " && make -j " + str(calc_jobs())) # Install it under the prefix - if ($Opt_Stage <= 1) { - run("/bin/rm -rf $prefix"); - run("/bin/mkdir -p $prefix"); - run("cd $blddir && make install"); - run("test -e $prefix/share/man/man1/verilator.1"); - run("test -e $prefix/share/verilator/examples/make_tracing_c/Makefile"); - run("test -e $prefix/share/verilator/include/verilated.h"); - run("test -e $prefix/bin/verilator"); - run("test -e $prefix/bin/verilator_bin"); - run("test -e $prefix/bin/verilator_bin_dbg"); - run("test -e $prefix/bin/verilator_gantt"); - run("test -e $prefix/bin/verilator_profcfunc"); - } + if Args.stage <= 1: + print("== stage 1") + run("/bin/rm -rf " + prefix) + run("/bin/mkdir -p " + prefix) + run("cd " + blddir + " && make install") + run("test -e " + prefix + "/share/man/man1/verilator.1") + run("test -e " + prefix + + "/share/verilator/examples/make_tracing_c/Makefile") + run("test -e " + prefix + "/share/verilator/include/verilated.h") + run("test -e " + prefix + "/bin/verilator") + run("test -e " + prefix + "/bin/verilator_bin") + run("test -e " + prefix + "/bin/verilator_bin_dbg") + run("test -e " + prefix + "/bin/verilator_gantt") + run("test -e " + prefix + "/bin/verilator_profcfunc") - # Run a test using just the path - if ($Opt_Stage <= 2) { - my $dir = $testdirp; - run("/bin/rm -rf $dir"); - run("/bin/mkdir -p $dir"); - my $bin1 = $prefix."/bin"; - my $bin2 = $prefix."/share/bin"; - write_verilog($dir); - run("cd $dir && PATH=$bin1:$bin2:\$PATH verilator --cc foo.v --exe foo.cpp"); - run("cd $dir/obj_dir && PATH=$bin1:$bin2:\$PATH make -f Vfoo.mk"); - run("cd $dir && PATH=$bin1:$bin2:\$PATH obj_dir/Vfoo"); - } + # run a test using just the path + if Args.stage <= 2: + print("== stage 2") + dir = testdirp + run("/bin/rm -rf " + dir) + run("/bin/mkdir -p " + dir) + path = prefix + "/bin" + ":" + prefix + "/share/bin" + write_verilog(dir) + run("cd " + dir + " && PATH=" + path + + ":$PATH verilator --cc top.v --exe sim_main.cpp") + run("cd " + dir + "/obj_dir && PATH=" + path + + ":$PATH make -f Vtop.mk") + run("cd " + dir + " && PATH=" + path + ":$PATH obj_dir/Vtop") - # Run a test using exact path to binary - if ($Opt_Stage <= 3) { - my $dir = $testdirn; - run("/bin/rm -rf $dir"); - run("/bin/mkdir -p $dir"); - write_verilog($dir); - my $bin1 = $prefix."/bin"; - my $bin2 = $prefix."/share/bin"; - run("cd $dir && $bin1/verilator --cc foo.v --exe foo.cpp"); - run("cd $dir/obj_dir && make -f Vfoo.mk"); - run("cd $dir/obj_dir && ./Vfoo"); - } + # run a test using exact path to binary + if Args.stage <= 3: + print("== stage 3") + dir = testdirn + run("/bin/rm -rf " + dir) + run("/bin/mkdir -p " + dir) + write_verilog(dir) + bin1 = prefix + "/bin" + run("cd " + dir + " && " + bin1 + + "/verilator --cc top.v --exe sim_main.cpp") + run("cd " + dir + "/obj_dir && make -f Vtop.mk") + run("cd " + dir + "/obj_dir && ./Vtop") - if ($Opt_Stage <= 9) { - print "*-* All Finished *-*\n"; - } -} + if Args.stage <= 9: + print("*-* All Finished *-*") -sub write_verilog { - my $dir = shift; - IO::File->new(">$dir/foo.v")->print('module t; initial begin $display("HELLO WORLD"); $finish; end endmodule'."\n"); - my $fh = IO::File->new(">$dir/foo.cpp"); - $fh->print('#include "Vfoo.h"' ,"\n"); - $fh->print('unsigned int main_time = 0;' ,"\n"); - $fh->print('double sc_time_stamp() {' ,"\n"); - $fh->print(' return main_time;' ,"\n"); - $fh->print('}' ,"\n"); - $fh->print('int main() {' ,"\n"); - $fh->print(' Vfoo *top = new Vfoo;' ,"\n"); - $fh->print(' while (!Verilated::gotFinish()) {',"\n"); - $fh->print(' top->eval();' ,"\n"); - $fh->print(' main_time++;' ,"\n"); - $fh->print(' }' ,"\n"); - $fh->print(' top->final();' ,"\n"); - $fh->print('}' ,"\n"); -} -sub cleanenv { - foreach my $var (keys %ENV) { - if ($var eq "VERILATOR_ROOT" - || $var eq "VERILATOR_INCLUDE" - || $var eq "VERILATOR_NO_OPT_BUILD") { - print "unset $var # Was '$ENV{$var}'\n"; - delete $ENV{$var} - } - } -} +def write_verilog(dir): + shutil.copy2("examples/make_hello_c/top.v", dir + "/top.v") + shutil.copy2("examples/make_hello_c/sim_main.cpp", dir + "/sim_main.cpp") + + +def cleanenv(): + for var in os.environ: + if (var == "VERILATOR_ROOT" or var == "VERILATOR_INCLUDE" + or var == "VERILATOR_NO_OPT_BUILD"): + print("unset %s # Was '%s'" % (var, os.environ[var])) + del os.environ[var] + + +def calc_jobs(): + return multiprocessing.cpu_count() + 1 + + +def run(command): + # run a system command, check errors + print("\t%s" % command) + os.system(command) + status = subprocess.call(command, shell=True) + if status < 0: + raise Exception("%Error: Command failed " + command + ", stopped") + ####################################################################### - -sub calc_jobs { - my $ok = eval " - use Unix::Processors; - return Unix::Processors->new->max_online; - "; - $ok && !$@ or return 1; - print "driver.pl: Found $ok cores, using -j ",$ok+1,"\n" if $Debug; - return $ok + 1; -} - -sub run { - # Run a system command, check errors - my $command = shift; - print "\t$command\n"; - system "$command"; - my $status = $?; - ($status == 0) or die "%Error: Command Failed $command, $status, stopped"; -} - ####################################################################### -__END__ -=pod - -=head1 NAME - -install_test - Build and install Verilator several ways - -=head1 SYNOPSIS - - install_test - -=head1 DESCRIPTION - -install_test performs several make-and-install iterations to verify the -kit. It isn't part of the normal "make test" due to the number of builds -required. - -=head1 ARGUMENTS - -=over 4 - -=item --help - -Displays this message and program version and exits. - -=item -j I - -Specify make -j flag. Defaults to number of cores + 1 if Perl's -Unix::Processors is installed, else 1. - -=item -stage I - -Runs a specific test stage (see the script). - -=back - -=head1 DISTRIBUTION - -Copyright 2009-2020 by Wilson Snyder. This program is free software; you +parser = argparse.ArgumentParser( + allow_abbrev=False, + formatter_class=argparse.RawDescriptionHelpFormatter, + description= + """install_test performs several make-and-install iterations to verify the +Verilator kit. It isn't part of the normal "make test" due to the number +of builds required.""", + epilog= + """Copyright 2009-2020 by Wilson Snyder. This program is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0. -SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0 +SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0""") +parser.add_argument('--debug', + action='store_const', + const=9, + help='enable debug') +parser.add_argument('--stage', + type=int, + default=0, + help='run a specific test stage (see the script)') -=head1 AUTHORS - -Wilson Snyder - -=head1 SEE ALSO - -=cut +Args = parser.parse_args() +test() ###################################################################### ### Local Variables: -### compile-command: "cd .. ; nodist/install_test " +### compile-command: "cd .. ; nodist/install_test" ### End: