From 657d7f257b5303b766ff60fb5ded6548ab31202f Mon Sep 17 00:00:00 2001 From: Krzysztof Bieganski Date: Fri, 30 Aug 2024 17:10:47 +0200 Subject: [PATCH] Support named event locals (#5422) Signed-off-by: Krzysztof Bieganski --- src/V3Fork.cpp | 13 ++++++- src/V3Timing.cpp | 6 --- ...levent_unsup.pl => t_timing_localevent.pl} | 12 +++--- test_regress/t/t_timing_localevent.v | 39 +++++++++++++++++++ test_regress/t/t_timing_localevent_unsup.out | 6 --- test_regress/t/t_timing_localevent_unsup.v | 24 ------------ test_regress/t/t_uvm_pkg_todo.vh | 4 +- 7 files changed, 59 insertions(+), 45 deletions(-) rename test_regress/t/{t_timing_localevent_unsup.pl => t_timing_localevent.pl} (70%) create mode 100644 test_regress/t/t_timing_localevent.v delete mode 100644 test_regress/t/t_timing_localevent_unsup.out delete mode 100644 test_regress/t/t_timing_localevent_unsup.v diff --git a/src/V3Fork.cpp b/src/V3Fork.cpp index 05ad1e938..4f0a6490c 100644 --- a/src/V3Fork.cpp +++ b/src/V3Fork.cpp @@ -173,6 +173,12 @@ public: new AstVarRef{varp->fileline(), varp, VAccess::READ}}; initsp = AstNode::addNext(initsp, initAsgnp); } + + if (AstBasicDType* const dtypep = VN_CAST(varp->dtypep()->skipRefp(), BasicDType)) { + v3Global.setAssignsEvents(); + if (dtypep->isEvent()) continue; + } + if (varp->direction().isWritable()) { AstMemberSel* const memberselp = new AstMemberSel{ varp->fileline(), @@ -416,7 +422,12 @@ class DynScopeVisitor final : public VNVisitor { ForkDynScopeFrame* const framep = frameOf(nodep->varp()); if (!framep) return; if (needsDynScope(nodep)) { - if (m_afterTimingControl && nodep->varp()->isWritable() + bool isEvent = false; + if (AstBasicDType* const dtypep = VN_CAST(nodep->dtypep()->skipRefp(), BasicDType)) { + v3Global.setAssignsEvents(); + isEvent = dtypep->isEvent(); + } + if (!isEvent && m_afterTimingControl && nodep->varp()->isWritable() && nodep->access().isWriteOrRW()) { // The output variable may not exist after a delay, so we can't just write to it nodep->v3warn( diff --git a/src/V3Timing.cpp b/src/V3Timing.cpp index f1bbd8988..fb1517642 100644 --- a/src/V3Timing.cpp +++ b/src/V3Timing.cpp @@ -925,12 +925,6 @@ class TimingControlVisitor final : public VNVisitor { // Do not allow waiting on local named events, as they get enqueued for clearing, but can // go out of scope before that happens if (!nodep->sensesp()) nodep->v3warn(E_UNSUPPORTED, "Unsupported: no sense equation (@*)"); - if (nodep->sensesp()->exists([](const AstNodeVarRef* refp) { - AstBasicDType* const dtypep = refp->dtypep()->skipRefp()->basicp(); - return dtypep && dtypep->isEvent() && refp->varp()->isFuncLocal(); - })) { - nodep->v3warn(E_UNSUPPORTED, "Unsupported: waiting on local event variables"); - } FileLine* const flp = nodep->fileline(); // Relink child statements after the event control if (nodep->stmtsp()) nodep->addNextHere(nodep->stmtsp()->unlinkFrBackWithNext()); diff --git a/test_regress/t/t_timing_localevent_unsup.pl b/test_regress/t/t_timing_localevent.pl similarity index 70% rename from test_regress/t/t_timing_localevent_unsup.pl rename to test_regress/t/t_timing_localevent.pl index 8ab3c0995..9c8061375 100755 --- a/test_regress/t/t_timing_localevent_unsup.pl +++ b/test_regress/t/t_timing_localevent.pl @@ -2,7 +2,7 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; } # DESCRIPTION: Verilator: Verilog Test driver/expect definition # -# Copyright 2022 by Antmicro Ltd. This program is free software; you +# Copyright 2024 by Wilson Snyder. This program is free software; you # can redistribute it and/or modify it under the terms of either the GNU # Lesser General Public License Version 3 or the Perl Artistic License # Version 2.0. @@ -10,10 +10,12 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di scenarios(linter => 1); -lint( - verilator_flags2 => ["--timing"], - fails => 1, - expect_filename => $Self->{golden_filename}, +compile( + verilator_flags2 => ["--exe --main --timing"], + ); + +execute( + check_finished => 1, ); ok(1); diff --git a/test_regress/t/t_timing_localevent.v b/test_regress/t/t_timing_localevent.v new file mode 100644 index 000000000..69aaf8878 --- /dev/null +++ b/test_regress/t/t_timing_localevent.v @@ -0,0 +1,39 @@ +// DESCRIPTION: Verilator: Verilog Test module +// +// This file ONLY is placed under the Creative Commons Public Domain, for +// any use, without warranty, 2024 by Antmicro. +// SPDX-License-Identifier: CC0-1.0 + +module t; + class Foo; + task sleep; + event e; + fork + @e; + #1 ->e; + join + endtask + task trigger_later1(event e); + fork #2 ->e; join_none + endtask + task trigger_later2(ref event e); + fork #3 ->e; join_none + endtask + task test; + for (int i = 0; i < 10; i++) begin + event e1, e2; + trigger_later1(e1); + trigger_later2(e2); + sleep; + @e1; @e2; + end + endtask + endclass + + initial begin + Foo foo = new; + foo.test; + $write("*-* All Finished *-*\n"); + $finish; + end +endmodule diff --git a/test_regress/t/t_timing_localevent_unsup.out b/test_regress/t/t_timing_localevent_unsup.out deleted file mode 100644 index 01b2c9f44..000000000 --- a/test_regress/t/t_timing_localevent_unsup.out +++ /dev/null @@ -1,6 +0,0 @@ -%Error-UNSUPPORTED: t/t_timing_localevent_unsup.v:12:17: Unsupported: waiting on local event variables - : ... note: In instance 't::Sleeper' - 12 | @e; - | ^ - ... For error description see https://verilator.org/warn/UNSUPPORTED?v=latest -%Error: Exiting due to diff --git a/test_regress/t/t_timing_localevent_unsup.v b/test_regress/t/t_timing_localevent_unsup.v deleted file mode 100644 index 8091e2a4b..000000000 --- a/test_regress/t/t_timing_localevent_unsup.v +++ /dev/null @@ -1,24 +0,0 @@ -// DESCRIPTION: Verilator: Verilog Test module -// -// This file ONLY is placed under the Creative Commons Public Domain, for -// any use, without warranty, 2022 by Antmicro Ltd. -// SPDX-License-Identifier: CC0-1.0 - -module t; - class Sleeper; - task sleep; - event e; - fork - @e; - #1 ->e; - join; - endtask - endclass - - initial begin - Sleeper sleeper = new; - sleeper.sleep; - $write("*-* All Finished *-*\n"); - $finish; - end -endmodule diff --git a/test_regress/t/t_uvm_pkg_todo.vh b/test_regress/t/t_uvm_pkg_todo.vh index 58823aa81..97b5b50f7 100644 --- a/test_regress/t/t_uvm_pkg_todo.vh +++ b/test_regress/t/t_uvm_pkg_todo.vh @@ -20256,9 +20256,7 @@ virtual class uvm_sequence_base extends uvm_sequence_item; if (is_rel_default != wait_rel_default) uvm_report_fatal("RELMSM", "is_relevant() was implemented without defining wait_for_relevant()", UVM_NONE); -//TODO issue #4495 - unsupported local event - may want to model the larger context where is used - might be case where edit upstream? -//TODO %Error-UNSUPPORTED: t/t_uvm_pkg_todo.vh:20247:5: Unsupported: waiting on local event variables -//TODO @e; + @e; endtask task lock(uvm_sequencer_base sequencer = null); if (sequencer == null)