diff --git a/Changes b/Changes index bbefbafeb..e9cb910f9 100644 --- a/Changes +++ b/Changes @@ -11,6 +11,11 @@ contributors that suggested a given feature are shown in []. Thanks! Verilator 4.205 devel ========================== +**Major:** + +* Generated code is now emitted as global functions rather than methods. '$c' + contents might need to be updated, see the docs (#3006). [Geza Lore] + **Minor:** diff --git a/docs/guide/extensions.rst b/docs/guide/extensions.rst index 1d0cbf0f7..c82c89adb 100644 --- a/docs/guide/extensions.rst +++ b/docs/guide/extensions.rst @@ -33,7 +33,12 @@ or "`ifdef`"'s may break other tools. that returns up to a 32-bit number (without a trailing ;). This can be used to call C++ functions from your Verilog code. - String arguments will be put directly into the output C++ code. + String arguments will be put directly into the output C++ code, except + the word 'this' (i.e.: the object pointer) might be replaced with a + different pointer as Verilator might implement logic with non-member + functions. For this reason, any references to class members must be made + via an explicit 'this->' pointer dereference. + Expression arguments will have the code to evaluate the expression inserted. Thus to call a C++ function, :code:`$c("func(",a,")")` will result in :code:`func(a)` in the output C++ code. For input arguments, diff --git a/include/verilated_threads.cpp b/include/verilated_threads.cpp index 49e2f2624..a4e2bfb73 100644 --- a/include/verilated_threads.cpp +++ b/include/verilated_threads.cpp @@ -74,7 +74,7 @@ void VlWorkerThread::workerLoop() { if (VL_UNLIKELY(m_exiting.load(std::memory_order_acquire))) break; if (VL_LIKELY(work.m_fnp)) { - work.m_fnp(work.m_evenCycle, work.m_sym); + work.m_fnp(work.m_selfp, work.m_evenCycle); work.m_fnp = nullptr; } } diff --git a/include/verilated_threads.h b/include/verilated_threads.h index 3416ae965..e01315646 100644 --- a/include/verilated_threads.h +++ b/include/verilated_threads.h @@ -48,12 +48,12 @@ #endif // clang-format on -// VlMTaskVertex and VlThreadpool will work with multiple symbol table types. +// VlMTaskVertex and VlThreadpool will work with multiple model class types. // Since the type is opaque to VlMTaskVertex and VlThreadPool, represent it // as a void* here. -using VlThrSymTab = void*; +using VlSelfP = void*; -using VlExecFnp = void (*)(bool, VlThrSymTab); +using VlExecFnp = void (*)(VlSelfP, bool); // Track dependencies for a single MTask. class VlMTaskVertex final { @@ -177,15 +177,15 @@ private: // TYPES struct ExecRec { VlExecFnp m_fnp; // Function to execute - VlThrSymTab m_sym; // Symbol table to execute + VlSelfP m_selfp; // Symbol table to execute bool m_evenCycle; // Even/odd for flag alternation ExecRec() : m_fnp{nullptr} - , m_sym{nullptr} + , m_selfp{nullptr} , m_evenCycle{false} {} - ExecRec(VlExecFnp fnp, bool evenCycle, VlThrSymTab sym) + ExecRec(VlExecFnp fnp, VlSelfP selfp, bool evenCycle) : m_fnp{fnp} - , m_sym{sym} + , m_selfp{selfp} , m_evenCycle{evenCycle} {} }; @@ -237,13 +237,13 @@ public: m_ready.erase(m_ready.begin()); m_ready_size.fetch_sub(1, std::memory_order_relaxed); } - inline void wakeUp() { addTask(nullptr, false, nullptr); } - inline void addTask(VlExecFnp fnp, bool evenCycle, VlThrSymTab sym) + inline void wakeUp() { addTask(nullptr, nullptr, false); } + inline void addTask(VlExecFnp fnp, VlSelfP selfp, bool evenCycle) VL_MT_SAFE_EXCLUDES(m_mutex) { bool notify; { const VerilatedLockGuard lk(m_mutex); - m_ready.emplace_back(fnp, evenCycle, sym); + m_ready.emplace_back(fnp, selfp, evenCycle); m_ready_size.fetch_add(1, std::memory_order_relaxed); notify = m_waiting; } diff --git a/src/V3Active.cpp b/src/V3Active.cpp index 3e9ee98ad..ee7debb29 100644 --- a/src/V3Active.cpp +++ b/src/V3Active.cpp @@ -474,11 +474,10 @@ private: if (!m_scopeFinalp) { m_scopeFinalp = new AstCFunc( nodep->fileline(), "_final_" + m_namer.scopep()->nameDotless(), m_namer.scopep()); - m_scopeFinalp->argTypes(EmitCBaseVisitor::symClassVar()); - m_scopeFinalp->addInitsp( - new AstCStmt(nodep->fileline(), EmitCBaseVisitor::symTopAssign() + "\n")); m_scopeFinalp->dontCombine(true); m_scopeFinalp->formCallTree(true); + m_scopeFinalp->isStatic(false); + m_scopeFinalp->isLoose(true); m_scopeFinalp->slow(true); m_namer.scopep()->addActivep(m_scopeFinalp); } diff --git a/src/V3Ast.h b/src/V3Ast.h index 1753b7075..a8628dee1 100644 --- a/src/V3Ast.h +++ b/src/V3Ast.h @@ -1687,6 +1687,7 @@ public: AstNodeDType* findSigned32DType() { return findBasicDType(AstBasicDTypeKwd::INTEGER); } AstNodeDType* findUInt32DType() { return findBasicDType(AstBasicDTypeKwd::UINT32); } AstNodeDType* findUInt64DType() { return findBasicDType(AstBasicDTypeKwd::UINT64); } + AstNodeDType* findCHandleDType() { return findBasicDType(AstBasicDTypeKwd::CHANDLE); } AstNodeDType* findVoidDType() const; AstNodeDType* findQueueIndexDType() const; AstNodeDType* findBitDType(int width, int widthMin, VSigning numeric) const; @@ -2272,9 +2273,9 @@ private: AstVarScope* m_varScopep = nullptr; // Varscope for hierarchy AstNodeModule* m_classOrPackagep = nullptr; // Package hierarchy string m_name; // Name of variable - string m_hiernameToProt; // Scope converted into name-> for emitting - string m_hiernameToUnprot; // Scope converted into name-> for emitting - bool m_hierThis = false; // Hiername points to "this" function + string m_selfPointer; // Output code object pointer (e.g.: 'this') + string m_classPrefix; // Output class prefix (i.e.: the part before ::) + bool m_hierThis = false; // m_selfPointer points to "this" function protected: AstNodeVarRef(AstType t, FileLine* fl, const string& name, const VAccess& access) @@ -2306,13 +2307,14 @@ public: void varp(AstVar* varp); AstVarScope* varScopep() const { return m_varScopep; } void varScopep(AstVarScope* varscp) { m_varScopep = varscp; } - string hiernameToProt() const { return m_hiernameToProt; } - void hiernameToProt(const string& hn) { m_hiernameToProt = hn; } - string hiernameToUnprot() const { return m_hiernameToUnprot; } - void hiernameToUnprot(const string& hn) { m_hiernameToUnprot = hn; } - string hiernameProtect() const; bool hierThis() const { return m_hierThis; } void hierThis(bool flag) { m_hierThis = flag; } + string selfPointer() const { return m_selfPointer; } + void selfPointer(const string& value) { m_selfPointer = value; } + string selfPointerProtect(bool useSelfForThis) const; + string classPrefix() const { return m_classPrefix; } + void classPrefix(const string& value) { m_classPrefix = value; } + string classPrefixProtect() const; AstNodeModule* classOrPackagep() const { return m_classOrPackagep; } void classOrPackagep(AstNodeModule* nodep) { m_classOrPackagep = nodep; } // Know no children, and hot function, so skip iterator for speed @@ -2615,8 +2617,8 @@ class AstNodeCCall VL_NOT_FINAL : public AstNodeStmt { // A call of a C++ function, perhaps a AstCFunc or perhaps globally named // Functions are not statements, while tasks are. AstNodeStmt needs isStatement() to deal. AstCFunc* m_funcp; - string m_hiernameToProt; - string m_hiernameToUnprot; + string m_selfPointer; // Output code object pointer (e.g.: 'this') + string m_classPrefix; // Output class prefix (i.e.: the part before ::) string m_argTypes; protected: @@ -2642,11 +2644,12 @@ public: virtual bool isPure() const override; virtual bool isOutputter() const override { return !isPure(); } AstCFunc* funcp() const { return m_funcp; } - string hiernameToProt() const { return m_hiernameToProt; } - void hiernameToProt(const string& hn) { m_hiernameToProt = hn; } - string hiernameToUnprot() const { return m_hiernameToUnprot; } - void hiernameToUnprot(const string& hn) { m_hiernameToUnprot = hn; } - string hiernameProtect() const; + string selfPointer() const { return m_selfPointer; } + void selfPointer(const string& value) { m_selfPointer = value; } + string selfPointerProtect(bool useSelfForThis) const; + string classPrefix() const { return m_classPrefix; } + void classPrefix(const string& value) { m_classPrefix = value; } + string classPrefixProtect() const; void argTypes(const string& str) { m_argTypes = str; } string argTypes() const { return m_argTypes; } // op1p reserved for AstCMethodCall diff --git a/src/V3AstNodes.cpp b/src/V3AstNodes.cpp index 4a6f99d6d..f8e0c1d79 100644 --- a/src/V3AstNodes.cpp +++ b/src/V3AstNodes.cpp @@ -55,8 +55,23 @@ void AstNodeVarRef::cloneRelink() { if (m_varp && m_varp->clonep()) m_varp = m_varp->clonep(); } -string AstNodeVarRef::hiernameProtect() const { - return hiernameToUnprot() + VIdProtect::protectWordsIf(hiernameToProt(), protect()); +string AstNodeVarRef::selfPointerProtect(bool useSelfForThis) const { + const string& sp + = useSelfForThis ? VString::replaceWord(selfPointer(), "this", "vlSelf") : selfPointer(); + return VIdProtect::protectWordsIf(sp, protect()); +} + +string AstNodeVarRef::classPrefixProtect() const { + return v3Global.opt.modPrefix() + "_" + VIdProtect::protectWordsIf(classPrefix(), protect()); +} + +void AstAddrOfCFunc::cloneRelink() { + if (m_funcp && m_funcp->clonep()) m_funcp = m_funcp->clonep(); +} + +const char* AstAddrOfCFunc::broken() const { + BROKEN_RTN(m_funcp && !m_funcp->brokeExists()); + return nullptr; } int AstNodeSel::bitConst() const { @@ -108,8 +123,13 @@ const char* AstNodeCCall::broken() const { return nullptr; } bool AstNodeCCall::isPure() const { return funcp()->pure(); } -string AstNodeCCall::hiernameProtect() const { - return hiernameToUnprot() + VIdProtect::protectWordsIf(hiernameToProt(), protect()); +string AstNodeCCall::selfPointerProtect(bool useSelfForThis) const { + const string& sp + = useSelfForThis ? VString::replaceWord(selfPointer(), "this", "vlSelf") : selfPointer(); + return VIdProtect::protectWordsIf(sp, protect()); +} +string AstNodeCCall::classPrefixProtect() const { + return v3Global.opt.modPrefix() + "_" + VIdProtect::protectWordsIf(classPrefix(), protect()); } void AstNodeCond::numberOperate(V3Number& out, const V3Number& lhs, const V3Number& rhs, @@ -221,6 +241,21 @@ AstExecGraph::AstExecGraph(FileLine* fileline) } AstExecGraph::~AstExecGraph() { VL_DO_DANGLING(delete m_depGraphp, m_depGraphp); } +std::vector AstExecGraph::rootMTasks() { + // Build the list of initial mtasks to start + std::vector execMTasks; + + for (const V3GraphVertex* vxp = depGraphp()->verticesBeginp(); vxp; + vxp = vxp->verticesNextp()) { + const ExecMTask* etp = dynamic_cast(vxp); + if (etp->threadRoot()) execMTasks.push_back(etp); + } + UASSERT_OBJ(execMTasks.size() <= static_cast(v3Global.opt.threads()), this, + "More root mtasks than available threads"); + + return execMTasks; +} + AstNode* AstInsideRange::newAndFromInside(AstNode* exprp, AstNode* lhsp, AstNode* rhsp) { AstNode* ap = new AstGte(fileline(), exprp->cloneTree(true), lhsp); AstNode* bp = new AstLte(fileline(), exprp->cloneTree(true), rhsp); diff --git a/src/V3AstNodes.h b/src/V3AstNodes.h index deba4c885..39b7d74b4 100644 --- a/src/V3AstNodes.h +++ b/src/V3AstNodes.h @@ -2388,8 +2388,7 @@ public: if (varScopep()) { return (varScopep() == samep->varScopep() && access() == samep->access()); } else { - return (hiernameToProt() == samep->hiernameToProt() - && hiernameToUnprot() == samep->hiernameToUnprot() + return (selfPointer() == samep->selfPointer() && varp()->name() == samep->varp()->name() && access() == samep->access()); } } @@ -2397,9 +2396,8 @@ public: if (varScopep()) { return (varScopep() == samep->varScopep()); } else { - return (hiernameToProt() == samep->hiernameToProt() - && hiernameToUnprot() == samep->hiernameToUnprot() - && (!hiernameToProt().empty() || !samep->hiernameToProt().empty()) + return (selfPointer() == samep->selfPointer() + && (!selfPointer().empty() || !samep->selfPointer().empty()) && varp()->name() == samep->varp()->name()); } } @@ -2439,12 +2437,33 @@ public: virtual int instrCount() const override { return widthInstrs(); } virtual bool same(const AstNode* samep) const override { const AstVarXRef* asamep = static_cast(samep); - return (hiernameToProt() == asamep->hiernameToProt() - && hiernameToUnprot() == asamep->hiernameToUnprot() && varp() == asamep->varp() + return (selfPointer() == asamep->selfPointer() && varp() == asamep->varp() && name() == asamep->name() && dotted() == asamep->dotted()); } }; +class AstAddrOfCFunc final : public AstNodeMath { + // Get address of CFunc +private: + AstCFunc* m_funcp; // Pointer to function itself + +public: + AstAddrOfCFunc(FileLine* fl, AstCFunc* funcp) + : ASTGEN_SUPER_AddrOfCFunc(fl) + , m_funcp{funcp} { + dtypep(findCHandleDType()); + } + +public: + ASTNODE_NODE_FUNCS(AddrOfCFunc) + virtual void cloneRelink() override; + virtual const char* broken() const override; + virtual string emitVerilog() override { V3ERROR_NA_RETURN(""); } + virtual string emitC() override { V3ERROR_NA_RETURN(""); } + virtual bool cleanOut() const override { return true; } + AstCFunc* funcp() const { return m_funcp; } +}; + class AstPin final : public AstNode { // A pin on a cell private: @@ -8717,7 +8736,6 @@ private: VBoolOrUnknown m_isConst; // Function is declared const (*this not changed) VBoolOrUnknown m_isStatic; // Function is declared static (no this) bool m_dontCombine : 1; // V3Combine shouldn't compare this func tree, it's special - bool m_skipDecl : 1; // Don't declare it bool m_declPrivate : 1; // Declare it private bool m_formCallTree : 1; // Make a global function to call entire tree of functions bool m_slow : 1; // Slow routine, called once or just at init time @@ -8725,9 +8743,10 @@ private: bool m_isConstructor : 1; // Is C class constructor bool m_isDestructor : 1; // Is C class destructor bool m_isMethod : 1; // Is inside a class definition + bool m_isLoose : 1; // Semantically this is a method, but is implemented as a function + // with an explicitly passed 'self' pointer as the first argument bool m_isInline : 1; // Inline function bool m_isVirtual : 1; // Virtual function - bool m_symProlog : 1; // Setup symbol table for later instructions bool m_entryPoint : 1; // User may call into this top level function bool m_pure : 1; // Pure function bool m_dpiExport : 1; // From dpi export @@ -8744,7 +8763,6 @@ public: m_name = name; m_rtnType = rtnType; m_dontCombine = false; - m_skipDecl = false; m_declPrivate = false; m_formCallTree = false; m_slow = false; @@ -8752,9 +8770,9 @@ public: m_isConstructor = false; m_isDestructor = false; m_isMethod = true; + m_isLoose = false; m_isInline = false; m_isVirtual = false; - m_symProlog = false; m_entryPoint = false; m_pure = false; m_dpiExport = false; @@ -8774,6 +8792,7 @@ public: const AstCFunc* asamep = static_cast(samep); return ((funcType() == asamep->funcType()) && (rtnTypeVoid() == asamep->rtnTypeVoid()) && (argTypes() == asamep->argTypes()) && (ctorInits() == asamep->ctorInits()) + && isLoose() == asamep->isLoose() && (!(dpiImport() || dpiExport()) || name() == asamep->name())); } // @@ -8792,9 +8811,7 @@ public: string rtnTypeVoid() const { return ((m_rtnType == "") ? "void" : m_rtnType); } bool dontCombine() const { return m_dontCombine || funcType() != AstCFuncType::FT_NORMAL; } void dontCombine(bool flag) { m_dontCombine = flag; } - bool dontInline() const { return dontCombine() || slow() || skipDecl() || funcPublic(); } - bool skipDecl() const { return m_skipDecl; } - void skipDecl(bool flag) { m_skipDecl = flag; } + bool dontInline() const { return dontCombine() || slow() || funcPublic(); } bool declPrivate() const { return m_declPrivate; } void declPrivate(bool flag) { m_declPrivate = flag; } bool formCallTree() const { return m_formCallTree; } @@ -8817,12 +8834,13 @@ public: void isDestructor(bool flag) { m_isDestructor = flag; } bool isMethod() const { return m_isMethod; } void isMethod(bool flag) { m_isMethod = flag; } + bool isLoose() const { return m_isLoose; } + void isLoose(bool flag) { m_isLoose = flag; } + bool isProperMethod() const { return isMethod() && !isLoose(); } bool isInline() const { return m_isInline; } void isInline(bool flag) { m_isInline = flag; } bool isVirtual() const { return m_isVirtual; } void isVirtual(bool flag) { m_isVirtual = flag; } - bool symProlog() const { return m_symProlog; } - void symProlog(bool flag) { m_symProlog = flag; } bool entryPoint() const { return m_entryPoint; } void entryPoint(bool flag) { m_entryPoint = flag; } bool pure() const { return m_pure; } @@ -9039,6 +9057,7 @@ public: const V3Graph* depGraphp() const { return m_depGraphp; } V3Graph* mutableDepGraphp() { return m_depGraphp; } void addMTaskBody(AstMTaskBody* bodyp) { addOp1p(bodyp); } + std::vector rootMTasks(); }; class AstSplitPlaceholder final : public AstNode { diff --git a/src/V3CCtors.cpp b/src/V3CCtors.cpp index b8b989aeb..ca8b6d31d 100644 --- a/src/V3CCtors.cpp +++ b/src/V3CCtors.cpp @@ -61,23 +61,21 @@ private: const int funcNum = m_newFunctions.size(); const string funcName = m_basename + "_" + cvtToStr(funcNum); AstCFunc* const funcp = new AstCFunc(m_modp->fileline(), funcName, nullptr, "void"); - funcp->isStatic(!m_type.isClass()); // Class constructors are non static + funcp->isStatic(false); + funcp->isLoose(!m_type.isClass()); funcp->declPrivate(true); funcp->slow(!m_type.isClass()); // Only classes construct on fast path string preventUnusedStmt; if (m_type.isClass()) { funcp->argTypes(EmitCBaseVisitor::symClassVar()); - preventUnusedStmt = "if (false && vlSymsp) {}"; + preventUnusedStmt = "if (false && vlSymsp) {} // Prevent unused\n"; } else if (m_type.isCoverage()) { - funcp->argTypes(EmitCBaseVisitor::prefixNameProtect(m_modp) + "* self, " - + EmitCBaseVisitor::symClassVar() + ", bool first"); - preventUnusedStmt = "if (false && self && vlSymsp && first) {}"; - } else { // Module - funcp->argTypes(EmitCBaseVisitor::prefixNameProtect(m_modp) + "* self"); - preventUnusedStmt = "if (false && self) {}"; + funcp->argTypes("bool first"); + preventUnusedStmt = "if (false && first) {} // Prevent unused\n"; + } + if (!preventUnusedStmt.empty()) { + funcp->addStmtsp(new AstCStmt(m_modp->fileline(), preventUnusedStmt)); } - preventUnusedStmt += " // Prevent unused\n"; - funcp->addStmtsp(new AstCStmt(m_modp->fileline(), preventUnusedStmt)); m_modp->addStmtp(funcp); m_numStmts = 0; return funcp; @@ -113,10 +111,9 @@ public: AstCCall* const callp = new AstCCall(m_modp->fileline(), funcp); if (m_type.isClass()) { callp->argTypes("vlSymsp"); - } else if (m_type.isCoverage()) { - callp->argTypes("self, vlSymsp, first"); - } else { // Module - callp->argTypes("self"); + } else { + if (m_type.isCoverage()) callp->argTypes("first"); + callp->selfPointer("this"); } rootFuncp->addStmtsp(callp); } @@ -134,6 +131,7 @@ void V3CCtors::evalAsserts() { AstCFunc* funcp = new AstCFunc(modp->fileline(), "_eval_debug_assertions", nullptr, "void"); funcp->declPrivate(true); funcp->isStatic(false); + funcp->isLoose(true); funcp->slow(false); funcp->ifdef("VL_DEBUG"); modp->addStmtp(funcp); @@ -145,7 +143,11 @@ void V3CCtors::evalAsserts() { int lastWordWidth = varp->width() % storedWidth; if (lastWordWidth != 0) { // if (signal & CONST(upper_non_clean_mask)) { fail; } - AstNode* newp = new AstVarRef(varp->fileline(), varp, VAccess::READ); + AstVarRef* const vrefp + = new AstVarRef(varp->fileline(), varp, VAccess::READ); + vrefp->selfPointer(v3Global.opt.relativeCFuncs() ? "this" + : "vlSymsp->TOPp"); + AstNode* newp = vrefp; if (varp->isWide()) { newp = new AstWordSel( varp->fileline(), newp, diff --git a/src/V3Changed.cpp b/src/V3Changed.cpp index 6bd904099..79e2ad14d 100644 --- a/src/V3Changed.cpp +++ b/src/V3Changed.cpp @@ -61,14 +61,13 @@ public: m_chgFuncp = new AstCFunc(m_scopetopp->fileline(), "_change_request_" + cvtToStr(++m_funcNum), m_scopetopp, "QData"); - m_chgFuncp->argTypes(EmitCBaseVisitor::symClassVar()); - m_chgFuncp->symProlog(true); + m_chgFuncp->isStatic(false); + m_chgFuncp->isLoose(true); m_chgFuncp->declPrivate(true); m_scopetopp->addActivep(m_chgFuncp); // Add a top call to it AstCCall* callp = new AstCCall(m_scopetopp->fileline(), m_chgFuncp); - callp->argTypes("vlSymsp"); if (!m_tlChgFuncp->stmtsp()) { m_tlChgFuncp->addStmtsp(new AstCReturn(m_scopetopp->fileline(), callp)); @@ -251,8 +250,8 @@ private: // Create a wrapper change detection function that calls each change detection function m_statep->m_tlChgFuncp = new AstCFunc(nodep->fileline(), "_change_request", scopep, "QData"); - m_statep->m_tlChgFuncp->argTypes(EmitCBaseVisitor::symClassVar()); - m_statep->m_tlChgFuncp->symProlog(true); + m_statep->m_tlChgFuncp->isStatic(false); + m_statep->m_tlChgFuncp->isLoose(true); m_statep->m_tlChgFuncp->declPrivate(true); m_statep->m_scopetopp->addActivep(m_statep->m_tlChgFuncp); // Each change detection function needs at least one AstChangeDet diff --git a/src/V3Clock.cpp b/src/V3Clock.cpp index 05620b081..84a1c3b7d 100644 --- a/src/V3Clock.cpp +++ b/src/V3Clock.cpp @@ -164,6 +164,18 @@ private: m_lastSenp = nullptr; m_lastIfp = nullptr; } + AstCFunc* makeTopFunction(const string& name, bool slow = false) { + AstCFunc* const funcp = new AstCFunc{m_topScopep->fileline(), name, m_topScopep->scopep()}; + funcp->dontCombine(true); + funcp->isStatic(false); + funcp->isLoose(true); + funcp->entryPoint(true); + funcp->slow(slow); + funcp->isConst(false); + funcp->declPrivate(true); + m_topScopep->scopep()->addActivep(funcp); + return funcp; + } void splitCheck(AstCFunc* ofuncp) { if (!v3Global.opt.outputSplitCFuncs() || !ofuncp->stmtsp()) return; if (EmitCBaseCounterVisitor(ofuncp->stmtsp()).count() < v3Global.opt.outputSplitCFuncs()) @@ -184,15 +196,13 @@ private: // Make a new function funcp = new AstCFunc{ofuncp->fileline(), ofuncp->name() + cvtToStr(++funcnum), m_topScopep->scopep()}; - funcp->argTypes(EmitCBaseVisitor::symClassVar()); funcp->dontCombine(true); - funcp->symProlog(true); - funcp->isStatic(true); + funcp->isStatic(false); + funcp->isLoose(true); funcp->slow(ofuncp->slow()); m_topScopep->scopep()->addActivep(funcp); // AstCCall* callp = new AstCCall{funcp->fileline(), funcp}; - callp->argTypes("vlSymsp"); ofuncp->addStmtsp(callp); func_stmts = 0; } @@ -212,55 +222,10 @@ private: // VV***** We reset all user1p() AstNode::user1ClearTree(); // Make top functions - { - AstCFunc* funcp = new AstCFunc{nodep->fileline(), "_eval", m_topScopep->scopep()}; - funcp->argTypes(EmitCBaseVisitor::symClassVar()); - funcp->dontCombine(true); - funcp->symProlog(true); - funcp->isStatic(true); - funcp->entryPoint(true); - m_topScopep->scopep()->addActivep(funcp); - m_evalFuncp = funcp; - } - { - AstCFunc* funcp - = new AstCFunc{nodep->fileline(), "_eval_initial", m_topScopep->scopep()}; - funcp->argTypes(EmitCBaseVisitor::symClassVar()); - funcp->dontCombine(true); - funcp->slow(true); - funcp->symProlog(true); - funcp->isStatic(true); - funcp->entryPoint(true); - m_topScopep->scopep()->addActivep(funcp); - m_initFuncp = funcp; - } - { - AstCFunc* funcp = new AstCFunc{nodep->fileline(), "final", m_topScopep->scopep()}; - funcp->skipDecl(true); - funcp->dontCombine(true); - funcp->slow(true); - funcp->isStatic(false); - funcp->entryPoint(true); - funcp->protect(false); - funcp->addInitsp(new AstCStmt(nodep->fileline(), EmitCBaseVisitor::symClassVar() - + " = this->__VlSymsp;\n")); - funcp->addInitsp( - new AstCStmt(nodep->fileline(), EmitCBaseVisitor::symTopAssign() + "\n")); - m_topScopep->scopep()->addActivep(funcp); - m_finalFuncp = funcp; - } - { - AstCFunc* funcp - = new AstCFunc{nodep->fileline(), "_eval_settle", m_topScopep->scopep()}; - funcp->argTypes(EmitCBaseVisitor::symClassVar()); - funcp->dontCombine(true); - funcp->slow(true); - funcp->isStatic(true); - funcp->symProlog(true); - funcp->entryPoint(true); - m_topScopep->scopep()->addActivep(funcp); - m_settleFuncp = funcp; - } + m_evalFuncp = makeTopFunction("_eval"); + m_initFuncp = makeTopFunction("_eval_initial", /* slow: */ true); + m_settleFuncp = makeTopFunction("_eval_settle", /* slow: */ true); + m_finalFuncp = makeTopFunction("_final", /* slow: */ true); // Process the activates iterateChildren(nodep); UINFO(4, " TOPSCOPE iter done " << nodep << endl); @@ -324,7 +289,6 @@ private: if (nodep->formCallTree()) { UINFO(4, " formCallTree " << nodep << endl); AstCCall* callp = new AstCCall(nodep->fileline(), nodep); - callp->argTypes("vlSymsp"); m_finalFuncp->addStmtsp(callp); } } diff --git a/src/V3Combine.cpp b/src/V3Combine.cpp index b859b455c..ee1284598 100644 --- a/src/V3Combine.cpp +++ b/src/V3Combine.cpp @@ -72,8 +72,8 @@ public: AstNode* const argsp = oldp->argsp() ? oldp->argsp()->unlinkFrBackWithNext() : nullptr; AstCCall* const newp = new AstCCall(oldp->fileline(), newfuncp, argsp); - newp->hiernameToProt(oldp->hiernameToProt()); - newp->hiernameToUnprot(oldp->hiernameToUnprot()); + newp->selfPointer(oldp->selfPointer()); + newp->classPrefix(oldp->classPrefix()); newp->argTypes(oldp->argTypes()); addCall(newp); // Fix the table, in case the newfuncp itself gets replaced oldp->replaceWith(newp); @@ -86,14 +86,23 @@ public: } } // METHODS - void addCall(AstCCall* nodep) { - if (nodep->funcp()->dontCombine()) return; - m_callMmap.emplace(nodep->funcp(), nodep); - } + void addCall(AstCCall* nodep) { m_callMmap.emplace(nodep->funcp(), nodep); } private: // VISITORS - virtual void visit(AstCCall* nodep) override { addCall(nodep); } + virtual void visit(AstCCall* nodep) override { + if (nodep->funcp()->dontCombine()) return; + addCall(nodep); + } + // LCOV_EXCL_START + virtual void visit(AstAddrOfCFunc* nodep) override { + // We cannot yet handle references via AstAddrOfCFunc, but currently those are + // only used in tracing functions, which are not combined. Blow up in case this changes. + if (nodep->funcp()->dontCombine()) return; + nodep->v3fatalSrc( + "Don't know how to combine functions that are referenced via AstAddrOfCFunc"); + } + // LCOV_EXCL_END // Speed things up virtual void visit(AstNodeAssign*) override {} virtual void visit(AstNodeMath*) override {} diff --git a/src/V3DepthBlock.cpp b/src/V3DepthBlock.cpp index 36924db42..5707fccd4 100644 --- a/src/V3DepthBlock.cpp +++ b/src/V3DepthBlock.cpp @@ -51,14 +51,19 @@ private: // Create function string name = m_cfuncp->name() + "__deep" + cvtToStr(++m_deepNum); AstCFunc* funcp = new AstCFunc(nodep->fileline(), name, nullptr); - funcp->argTypes(EmitCBaseVisitor::symClassVar()); - funcp->symProlog(true); funcp->slow(m_cfuncp->slow()); + funcp->isStatic(m_cfuncp->isStatic()); + funcp->isLoose(m_cfuncp->isLoose()); funcp->addStmtsp(nodep); m_modp->addStmtp(funcp); // Call it at the point where the body was removed from AstCCall* callp = new AstCCall(nodep->fileline(), funcp); - callp->argTypes("vlSymsp"); + if (VN_IS(m_modp, Class)) { + funcp->argTypes(EmitCBaseVisitor::symClassVar()); + callp->argTypes("vlSymsp"); + } else if (funcp->isStatic().falseUnknown()) { + callp->selfPointer("this"); + } UINFO(6, " New " << callp << endl); // relinkHandle.relink(callp); diff --git a/src/V3Descope.cpp b/src/V3Descope.cpp index 3e40fa3ee..8d94ef243 100644 --- a/src/V3Descope.cpp +++ b/src/V3Descope.cpp @@ -56,7 +56,8 @@ private: VL_DEBUG_FUNC; // Declare debug() static bool modIsSingleton(AstNodeModule* modp) { - // True iff there's exactly one instance of this module in the design. + // True iff there's exactly one instance of this module in the design (including top). + if (modp->isTop()) return true; int instances = 0; for (AstNode* stmtp = modp->stmtsp(); stmtp; stmtp = stmtp->nextp()) { if (VN_IS(stmtp, Scope)) { @@ -66,85 +67,68 @@ private: return (instances == 1); } - // Construct the best prefix to reference an object in 'scopep' - // from a CFunc in 'm_scopep'. Result may be relative - // ("this->[...]") or absolute ("vlTOPp->[...]"). + // Construct the best self pointer to reference an object in 'scopep' from a CFunc in + // 'm_scopep'. Result may be relative ("this->[...]") or absolute ("vlSyms->[...]"). // - // Using relative references allows V3Combine'ing - // code across multiple instances of the same module. - // - // Sets 'hierThisr' true if the object is local to this scope - // (and could be made into a function-local later in V3Localize), - // false if the object is in another scope. - string descopedName(bool& hierThisr, string& hierUnprot, const AstScope* scopep, - const AstVar* varp) { + // Using relative references allows V3Combine'ing code across multiple instances of the same + // module. + string descopedSelfPointer(const AstScope* scopep) { UASSERT(scopep, "Var/Func not scoped"); - hierThisr = (scopep == m_scopep); // It's possible to disable relative references. This is a concession // to older compilers (gcc < 4.5.x) that don't understand __restrict__ // well and emit extra ld/st to guard against pointer aliasing - // when this-> and vlTOPp-> are mixed in the same function. - // - // "vlTOPp" is declared "restrict" so better compilers understand - // that it won't alias with "this". + // when this-> and vlSyms-> are mixed in the same function. bool relativeRefOk = v3Global.opt.relativeCFuncs(); // // Static functions can't use this if (!m_allowThis) relativeRefOk = false; // - // Use absolute refs in top-scoped routines, keep them static. - // The DPI callback registration depends on representing top-level - // static routines as plain function pointers. That breaks if those - // become true OO routines. - // - // V3Combine wouldn't likely be able to combine top-level - // routines anyway, so there's no harm in keeping these static. - UASSERT_OBJ(m_modp, scopep, "Scope not under module"); - if (m_modp->isTop()) relativeRefOk = false; - // - // Use absolute refs if this scope is the only instance of the module. - // Saves a bit of overhead on passing the 'this' pointer, and there's no - // need to be nice to V3Combine when we have only a single instance. - // The risk that this prevents combining identical logic from differently- - // named but identical modules seems low. - if (m_modSingleton) relativeRefOk = false; - // // Class methods need relative if (m_modp && VN_IS(m_modp, Class)) relativeRefOk = true; - if (varp && varp->isFuncLocal()) { - hierThisr = true; - return ""; // Relative to function, not in this - } else if (relativeRefOk && scopep == m_scopep) { + UINFO(8, " Descope ref under " << m_scopep << endl); + UINFO(8, " ref to " << scopep << endl); + UINFO(8, " aboveScope " << scopep->aboveScopep() << endl); + + if (relativeRefOk && scopep == m_scopep) { m_needThis = true; - return "this->"; + return "this"; } else if (VN_IS(scopep->modp(), Class)) { - hierUnprot = v3Global.opt.modPrefix() + "_"; // Prefix before protected part - return scopep->modp()->name() + "::"; - } else if (relativeRefOk && scopep->aboveScopep() && scopep->aboveScopep() == m_scopep) { - // Reference to scope of instance directly under this module, can just "cell->" + return ""; + } else if (!m_modSingleton && relativeRefOk && scopep->aboveScopep() == m_scopep + && VN_IS(scopep->modp(), Module)) { + // Reference to scope of instance directly under this module, can just "this->cell", + // which can potentially be V3Combined, but note this requires one extra pointer + // dereference which is slower, so we only use it if the source scope is not a + // singleton. string name = scopep->name(); string::size_type pos; if ((pos = name.rfind('.')) != string::npos) name.erase(0, pos + 1); m_needThis = true; - return name + "->"; + return "this->" + name; } else { - // Reference to something elsewhere, or relative references - // are disabled. Use global variable - UINFO(8, " Descope " << scopep << endl); - UINFO(8, " to " << scopep->name() << endl); - UINFO(8, " under " << m_scopep->name() << endl); - if (!scopep->aboveScopep()) { // Top - // We could also return "vlSymsp->TOPp->" here, but GCC would - // suspect aliases. - return "vlTOPp->"; + // Reference to something elsewhere, or relative references are disabled. Use global + // variable + if (scopep->isTop()) { // Top + return "vlSymsp->TOPp"; } else { - return scopep->nameVlSym() + "."; + return "(&" + scopep->nameVlSym() + ")"; } } } + // Construct the class prefix (as in, the part before the :: scope resolution operator) when + // referencing an object in 'scopep' from a CFunc in 'm_scopep'. + string descopedClassPrefix(const AstScope* scopep) { + UASSERT(scopep, "Var/Func not scoped"); + if (VN_IS(scopep->modp(), Class) && scopep != m_scopep) { + return scopep->modp()->name(); + } else { + return ""; + } + } + void makePublicFuncWrappers() { // We recorded all public functions in m_modFuncs. // If for any given name only one function exists, we can use that function directly. @@ -164,11 +148,6 @@ private: if (newfuncp->finalsp()) newfuncp->finalsp()->unlinkFrBackWithNext()->deleteTree(); newfuncp->name(name); newfuncp->isStatic(false); - newfuncp->addInitsp( - new AstCStmt(newfuncp->fileline(), - EmitCBaseVisitor::symClassVar() + " = this->__VlSymsp;\n")); - newfuncp->addInitsp( - new AstCStmt(newfuncp->fileline(), EmitCBaseVisitor::symTopAssign() + "\n")); topFuncp->addNextHere(newfuncp); // In the body, call each function if it matches the given scope for (FuncMmap::iterator eachIt = it; @@ -255,13 +234,15 @@ private: // Convert the hierch name UINFO(9, " ref-in " << nodep << endl); UASSERT_OBJ(m_scopep, nodep, "Node not under scope"); - bool hierThis; - string hierUnprot; - nodep->hiernameToProt(descopedName(hierThis /*ref*/, hierUnprot /*ref*/, - nodep->varScopep()->scopep(), - nodep->varScopep()->varp())); - nodep->hiernameToUnprot(hierUnprot); - nodep->hierThis(hierThis); + const AstVar* const varp = nodep->varScopep()->varp(); + const AstScope* const scopep = nodep->varScopep()->scopep(); + if (varp->isFuncLocal()) { + nodep->hierThis(true); + } else { + nodep->hierThis(scopep == m_scopep); + nodep->selfPointer(descopedSelfPointer(scopep)); + nodep->classPrefix(descopedClassPrefix(scopep)); + } nodep->varScopep(nullptr); UINFO(9, " refout " << nodep << endl); } @@ -270,12 +251,9 @@ private: iterateChildren(nodep); // Convert the hierch name UASSERT_OBJ(m_scopep, nodep, "Node not under scope"); - UASSERT_OBJ(nodep->funcp()->scopep(), nodep, "CFunc not under scope"); - bool hierThis; - string hierUnprot; - nodep->hiernameToProt( - descopedName(hierThis /*ref*/, hierUnprot /*ref*/, nodep->funcp()->scopep(), nullptr)); - nodep->hiernameToUnprot(hierUnprot); + const AstScope* const scopep = nodep->funcp()->scopep(); + nodep->selfPointer(descopedSelfPointer(scopep)); + nodep->classPrefix(descopedClassPrefix(scopep)); // Can't do this, as we may have more calls later // nodep->funcp()->scopep(nullptr); } diff --git a/src/V3EmitC.cpp b/src/V3EmitC.cpp index d71fdd96b..7f5b11006 100644 --- a/src/V3EmitC.cpp +++ b/src/V3EmitC.cpp @@ -50,6 +50,11 @@ private: int m_labelNum; // Next label number int m_splitSize; // # of cfunc nodes placed into output file int m_splitFilenum; // File number being created, 0 = primary + bool m_inUC = false; // Inside an AstUCStmt or AstUCMath + +protected: + bool m_useSelfForThis = false; // Replace "this" with "vlSelf" + AstNodeModule* m_modp = nullptr; // Current module being emitted public: // METHODS @@ -65,8 +70,7 @@ public: void splitSizeInc(int count) { m_splitSize += count; } void splitSizeInc(AstNode* nodep) { splitSizeInc(EmitCBaseCounterVisitor(nodep).count()); } bool splitNeeded() const { - return (splitSize() && v3Global.opt.outputSplit() - && v3Global.opt.outputSplit() < splitSize()); + return v3Global.opt.outputSplit() && splitSize() >= v3Global.opt.outputSplit(); } // METHODS @@ -226,60 +230,41 @@ public: return lhsp->name() < rhsp->name(); } }; - void emitIntFuncDecls(AstNodeModule* modp, bool methodFuncs) { + void emitIntFuncDecls(AstNodeModule* modp, bool inClassBody) { std::vector funcsp; for (AstNode* nodep = modp->stmtsp(); nodep; nodep = nodep->nextp()) { if (const AstCFunc* funcp = VN_CAST(nodep, CFunc)) { - if (!funcp->skipDecl() && funcp->isMethod() == methodFuncs - && !funcp->dpiImport()) { // DPI is prototyped in __Dpi.h - funcsp.push_back(funcp); - } + if (funcp->dpiImport()) // DPI import functions are declared in __Dpi.h + continue; + if (funcp->isMethod() != inClassBody) // Only methods go inside class + continue; + if (funcp->isMethod() && funcp->isLoose()) // Loose methods are declared lazily + continue; + funcsp.push_back(funcp); } } stable_sort(funcsp.begin(), funcsp.end(), CmpName()); for (const AstCFunc* funcp : funcsp) { - ofp()->putsPrivate(funcp->declPrivate()); - if (!funcp->ifdef().empty()) puts("#ifdef " + funcp->ifdef() + "\n"); - if (funcp->isStatic().trueUnknown()) puts("static "); - if (funcp->isVirtual()) puts("virtual "); - if (!funcp->isConstructor() && !funcp->isDestructor()) { - puts(funcp->rtnTypeVoid()); - puts(" "); - } - puts(funcNameProtect(funcp, modp)); - puts("(" + cFuncArgs(funcp) + ")"); - if (funcp->isConst().trueKnown()) puts(" const"); - if (funcp->slow()) puts(" VL_ATTR_COLD"); - puts(";\n"); - if (!funcp->ifdef().empty()) puts("#endif // " + funcp->ifdef() + "\n"); - } - - if (methodFuncs && modp->isTop() && v3Global.opt.mtasks()) { - // Emit the mtask func prototypes. - AstExecGraph* execGraphp = v3Global.rootp()->execGraphp(); - UASSERT_OBJ(execGraphp, v3Global.rootp(), "Root should have an execGraphp"); - const V3Graph* depGraphp = execGraphp->depGraphp(); - for (const V3GraphVertex* vxp = depGraphp->verticesBeginp(); vxp; - vxp = vxp->verticesNextp()) { - const ExecMTask* mtp = dynamic_cast(vxp); - if (mtp->threadRoot()) { - // Emit function declaration for this mtask - ofp()->putsPrivate(true); - puts("static void "); - puts(protect(mtp->cFuncName())); - puts("(bool even_cycle, void* symtab);\n"); - } - } - // No AstCFunc for this one, as it's synthetic. Just write it: - puts("static void __Vmtask__final(bool even_cycle, void* symtab);\n"); + if (inClassBody) ofp()->putsPrivate(funcp->declPrivate()); + emitCFuncDecl(funcp, modp); } } void ccallIterateArgs(AstNodeCCall* nodep) { - puts(nodep->argTypes()); - bool comma = (nodep->argTypes() != ""); + bool comma = false; + if (nodep->funcp()->isLoose() && nodep->funcp()->isStatic().falseUnknown()) { + UASSERT_OBJ(!nodep->selfPointer().empty(), nodep, + "Call to loose method without self pointer"); + puts(nodep->selfPointerProtect(m_useSelfForThis)); + comma = true; + } + if (!nodep->argTypes().empty()) { + if (comma) puts(", "); + puts(nodep->argTypes()); + comma = true; + } for (AstNode* subnodep = nodep->argsp(); subnodep; subnodep = subnodep->nextp()) { if (comma) puts(", "); iterate(subnodep); @@ -287,6 +272,18 @@ public: } } + void emitDereference(const string& pointer) { + if (pointer[0] == '(' && pointer[1] == '&') { + // remove "address of" followed by immediate dereference + // Note: this relies on only the form '(&OBJECT)' being used by Verilator + puts(pointer.substr(2, pointer.length() - 3)); + puts("."); + } else { + puts(pointer); + puts("->"); + } + } + // VISITORS virtual void visit(AstNodeAssign* nodep) override { bool paren = true; @@ -384,14 +381,32 @@ public: puts(")"); } virtual void visit(AstNodeCCall* nodep) override { + const AstCFunc* const funcp = nodep->funcp(); if (AstCMethodCall* ccallp = VN_CAST(nodep, CMethodCall)) { + UASSERT_OBJ(!funcp->isLoose(), nodep, "Loose method called via AstCMethodCall"); // make this a Ast type for future opt iterate(ccallp->fromp()); putbs("->"); + puts(funcp->nameProtect()); + } else if (funcp->isProperMethod() && funcp->isStatic().trueUnknown()) { + // Call static method via the containing class + AstNodeModule* modp = VN_CAST(funcp->user4p(), NodeModule); + puts(prefixNameProtect(modp) + "::"); + puts(funcp->nameProtect()); + } else if (!nodep->classPrefix().empty()) { + // Prefix explicitly given + puts(nodep->classPrefixProtect() + "::"); + puts(funcp->nameProtect()); + } else if (funcp->isLoose()) { + // Calling loose method + puts(funcNameProtect(funcp)); } else { - puts(nodep->hiernameProtect()); + // Calling regular method/function + if (!nodep->selfPointer().empty()) { + emitDereference(nodep->selfPointerProtect(m_useSelfForThis)); + } + puts(funcp->nameProtect()); } - puts(nodep->funcp()->nameProtect()); puts("("); ccallIterateArgs(nodep); if (VN_IS(nodep->backp(), NodeMath) || VN_IS(nodep->backp(), CReturn)) { @@ -459,7 +474,7 @@ public: iterateChildren(nodep); } virtual void visit(AstCoverDecl* nodep) override { - puts("self->__vlCoverInsert("); // As Declared in emitCoverageDecl + puts("vlSelf->__vlCoverInsert("); // As Declared in emitCoverageDecl puts("&(vlSymsp->__Vcoverage["); puts(cvtToStr(nodep->dataDeclThisp()->binNum())); puts("])"); @@ -886,10 +901,13 @@ public: puts(", vlSymsp->_vm_contextp__);\n"); } virtual void visit(AstNodeSimpleText* nodep) override { + const string text = m_inUC && m_useSelfForThis + ? VString::replaceWord(nodep->text(), "this", "vlSelf") + : nodep->text(); if (nodep->tracking() || m_trackText) { - puts(nodep->text()); + puts(text); } else { - ofp()->putsNoTracking(nodep->text()); + ofp()->putsNoTracking(text); } } virtual void visit(AstTextBlock* nodep) override { @@ -908,11 +926,15 @@ public: iterateAndNextNull(nodep->bodysp()); } virtual void visit(AstUCStmt* nodep) override { + VL_RESTORER(m_inUC); + m_inUC = true; putsDecoration(ifNoProtect("// $c statement at " + nodep->fileline()->ascii() + "\n")); iterateAndNextNull(nodep->bodysp()); puts("\n"); } virtual void visit(AstUCFunc* nodep) override { + VL_RESTORER(m_inUC); + m_inUC = true; puts("\n"); putsDecoration(ifNoProtect("// $c function at " + nodep->fileline()->ascii() + "\n")); iterateAndNextNull(nodep->bodysp()); @@ -1108,9 +1130,24 @@ public: virtual void visit(AstInitItem* nodep) override { iterateChildren(nodep); } // Terminals virtual void visit(AstVarRef* nodep) override { - puts(nodep->hiernameProtect()); + const AstVar* const varp = nodep->varp(); + if (varp->isStatic()) { + // Access static variable via the containing class + puts(prefixNameProtect(varp->user4p()) + "::"); + } else if (!nodep->classPrefix().empty()) { + puts(nodep->classPrefixProtect() + "::"); + } else if (!nodep->selfPointer().empty()) { + emitDereference(nodep->selfPointerProtect(m_useSelfForThis)); + } puts(nodep->varp()->nameProtect()); } + virtual void visit(AstAddrOfCFunc* nodep) override { + // Note: Can be thought to handle more, but this is all that is needed right now + AstCFunc* const funcp = nodep->funcp(); + UASSERT_OBJ(funcp->isLoose(), nodep, "Cannot take address of non-loose method"); + puts("&"); + puts(funcNameProtect(funcp)); + } void emitCvtPackStr(AstNode* nodep) { if (const AstConst* constp = VN_CAST(nodep, Const)) { putbs("std::string("); @@ -1172,7 +1209,9 @@ public: if (!assigntop) { puts(assignString); } else if (VN_IS(assigntop, VarRef)) { - puts(assigntop->hiernameProtect()); + if (!assigntop->selfPointer().empty()) { + emitDereference(assigntop->selfPointerProtect(m_useSelfForThis)); + } puts(assigntop->varp()->nameProtect()); } else { iterateAndNextNull(assigntop); @@ -1195,7 +1234,9 @@ public: if (!assigntop) { puts(assignString); } else if (VN_IS(assigntop, VarRef)) { - puts(assigntop->hiernameProtect()); + if (!assigntop->selfPointer().empty()) { + emitDereference(assigntop->selfPointerProtect(m_useSelfForThis)); + } puts(assigntop->varp()->nameProtect()); } else { iterateAndNextNull(assigntop); @@ -1337,16 +1378,85 @@ public: unsigned EmitVarTspSorter::s_serialNext = 0; +//###################################################################### +// Emit lazy forward declarations + +class EmitCLazyDecls final : public AstNVisitor { + // NODE STATE/TYPES + // AstNode::user2() -> bool. Already emitted decl for symbols. + AstUser2InUse m_inuser2; + + // MEMBERS + std::unordered_set m_emittedManually; // Set of names already declared manually. + EmitCBaseVisitor& m_emitter; // For access to file output + bool m_needsBlankLine = false; // Emit blank line if any declarations were emitted (cosmetic) + + void lazyDeclare(AstCFunc* funcp) { + if (funcp->user2SetOnce()) return; // Already declared + if (!funcp->isMethod() || !funcp->isLoose()) return; // Not lazily declared + if (m_emittedManually.count(funcp->nameProtect())) return; // Already declared manually + m_emitter.emitCFuncDecl(funcp, VN_CAST_CONST(funcp->user4p(), NodeModule)); + m_needsBlankLine = true; + } + + virtual void visit(AstNodeCCall* nodep) override { + lazyDeclare(nodep->funcp()); + iterateChildren(nodep); + } + + virtual void visit(AstAddrOfCFunc* nodep) override { // + lazyDeclare(nodep->funcp()); + } + + virtual void visit(AstExecGraph* nodep) override { + if (nodep->user2SetOnce()) return; // Already declared + // Build the list of initial mtasks to start + for (const ExecMTask* mtp : nodep->rootMTasks()) { + m_emitter.puts("void "); + m_emitter.puts(EmitCBaseVisitor::topClassName() + "__" + + EmitCBaseVisitor::protect(mtp->cFuncName())); + m_emitter.puts("(void* voidSelf, bool even_cycle);\n"); + m_needsBlankLine = true; + } + } + + virtual void visit(AstNode* nodep) override { iterateChildrenConst(nodep); } + + VL_DEBUG_FUNC; + +public: + EmitCLazyDecls(EmitCBaseVisitor& emitter) + : m_emitter(emitter) {} + void emit(AstNode* nodep) { + m_needsBlankLine = false; + iterateChildrenConst(nodep); + if (m_needsBlankLine) m_emitter.puts("\n"); + } + void emit(const string& prefix, const string& name, const string& suffix) { + m_emittedManually.insert(name); + m_emitter.ensureNewLine(); + m_emitter.puts(prefix); + m_emitter.puts(name); + m_emitter.puts(suffix); + m_emitter.ensureNewLine(); + } + void declared(AstCFunc* nodep) { nodep->user2SetOnce(); } + void reset() { AstNode::user2ClearTree(); } +}; + //###################################################################### // Internal EmitC implementation class EmitCImp final : EmitCStmts { + // NODE STATE/TYPES + // AstNode::user2() -> bool. Used by EmitCLazyDecls (already declared) + // MEMBERS - AstNodeModule* m_modp = nullptr; AstNodeModule* m_fileModp = nullptr; // Files (names, headers) constructed using this module std::vector m_blkChangeDetVec; // All encountered changes in block bool m_slow = false; // Creating __Slow file bool m_fast = false; // Creating non __Slow file (or both) + EmitCLazyDecls m_lazyDecls; // Visitor for emitting lazy declarations //--------------------------------------- // METHODS @@ -1393,6 +1503,8 @@ class EmitCImp final : EmitCStmts { } V3OutCFile* newOutCFile(bool slow, bool source, int filenum = 0) { + m_lazyDecls.reset(); // Need to emit new lazy declarations + string filenameNoExt = v3Global.opt.makeDir() + "/" + prefixNameProtect(m_fileModp); if (filenum) filenameNoExt += "__" + cvtToStr(filenum); filenameNoExt += (slow ? "__Slow" : ""); @@ -1450,7 +1562,7 @@ class EmitCImp final : EmitCStmts { void emitMTaskBody(AstMTaskBody* nodep) { ExecMTask* curExecMTaskp = nodep->execMTaskp(); if (packedMTaskMayBlock(curExecMTaskp)) { - puts("vlTOPp->__Vm_mt_" + cvtToStr(curExecMTaskp->id()) + puts("vlSelf->__Vm_mt_" + cvtToStr(curExecMTaskp->id()) + ".waitUntilUpstreamDone(even_cycle);\n"); } @@ -1459,9 +1571,9 @@ class EmitCImp final : EmitCStmts { recName = "__Vprfthr_" + cvtToStr(curExecMTaskp->id()); puts("VlProfileRec* " + recName + " = nullptr;\n"); // Leave this if() here, as don't want to call VL_RDTSC_Q unless profiling - puts("if (VL_UNLIKELY(vlTOPp->__Vm_profile_cycle_start)) {\n"); - puts(recName + " = vlTOPp->__Vm_threadPoolp->profileAppend();\n"); - puts(recName + "->startRecord(VL_RDTSC_Q() - vlTOPp->__Vm_profile_cycle_start,"); + puts("if (VL_UNLIKELY(vlSelf->__Vm_profile_cycle_start)) {\n"); + puts(recName + " = vlSelf->__Vm_threadPoolp->profileAppend();\n"); + puts(recName + "->startRecord(VL_RDTSC_Q() - vlSelf->__Vm_profile_cycle_start,"); puts(" " + cvtToStr(curExecMTaskp->id()) + ","); puts(" " + cvtToStr(curExecMTaskp->cost()) + ");\n"); puts("}\n"); @@ -1474,7 +1586,7 @@ class EmitCImp final : EmitCStmts { if (v3Global.opt.profThreads()) { // Leave this if() here, as don't want to call VL_RDTSC_Q unless profiling puts("if (VL_UNLIKELY(" + recName + ")) {\n"); - puts(recName + "->endRecord(VL_RDTSC_Q() - vlTOPp->__Vm_profile_cycle_start);\n"); + puts(recName + "->endRecord(VL_RDTSC_Q() - vlSelf->__Vm_profile_cycle_start);\n"); puts("}\n"); } @@ -1486,7 +1598,7 @@ class EmitCImp final : EmitCStmts { for (V3GraphEdge* edgep = curExecMTaskp->outBeginp(); edgep; edgep = edgep->outNextp()) { const ExecMTask* nextp = dynamic_cast(edgep->top()); if (nextp->thread() != curExecMTaskp->thread()) { - puts("vlTOPp->__Vm_mt_" + cvtToStr(nextp->id()) + puts("vlSelf->__Vm_mt_" + cvtToStr(nextp->id()) + ".signalUpstreamDone(even_cycle);\n"); } } @@ -1497,26 +1609,28 @@ class EmitCImp final : EmitCStmts { emitMTaskBody(nextp->bodyp()); } else { // Unblock the fake "final" mtask - puts("vlTOPp->__Vm_mt_final.signalUpstreamDone(even_cycle);\n"); + puts("vlSelf->__Vm_mt_final.signalUpstreamDone(even_cycle);\n"); } } virtual void visit(AstMTaskBody* nodep) override { + VL_RESTORER(m_useSelfForThis); maybeSplit(); splitSizeInc(10); - const ExecMTask* const mtp = nodep->execMTaskp(); puts("\n"); + for (const ExecMTask* mtp = nodep->execMTaskp(); mtp; mtp = mtp->packNextp()) { + m_lazyDecls.emit(mtp->bodyp()); + } puts("void "); - puts(prefixNameProtect(m_modp) + "::" + protect(mtp->cFuncName())); - puts("(bool even_cycle, void* symtab) {\n"); - - // Declare and set vlSymsp - puts(EmitCBaseVisitor::symClassVar() + " = (" + EmitCBaseVisitor::symClassName() - + "*)symtab;\n"); - puts(EmitCBaseVisitor::symTopAssign() + "\n"); - + puts(topClassName() + "__" + protect(nodep->execMTaskp()->cFuncName())); + puts("(void* voidSelf, bool even_cycle) {\n"); + puts(topClassName() + "* const vlSelf = static_cast<" + topClassName() + + "*>(voidSelf);\n"); + m_useSelfForThis = true; + puts(symClassAssign()); emitMTaskBody(nodep); + ensureNewLine(); puts("}\n"); } @@ -1529,6 +1643,8 @@ class EmitCImp final : EmitCStmts { if (nodep->dpiImport()) return; if (!(nodep->slow() ? m_slow : m_fast)) return; + VL_RESTORER(m_useSelfForThis); + maybeSplit(); m_blkChangeDetVec.clear(); @@ -1536,17 +1652,10 @@ class EmitCImp final : EmitCStmts { splitSizeInc(nodep); puts("\n"); + m_lazyDecls.emit(nodep); if (nodep->ifdef() != "") puts("#ifdef " + nodep->ifdef() + "\n"); if (nodep->isInline()) puts("VL_INLINE_OPT "); - if (!nodep->isConstructor() && !nodep->isDestructor()) { - puts(nodep->rtnTypeVoid()); - puts(" "); - } - - if (nodep->isMethod()) puts(prefixNameProtect(m_modp) + "::"); - puts(funcNameProtect(nodep, m_modp)); - puts("(" + cFuncArgs(nodep) + ")"); - if (nodep->isConst().trueKnown()) puts(" const"); + emitCFuncHeader(nodep, m_modp, /* withScope: */ true); // TODO perhaps better to have a new AstCCtorInit so we can pass arguments // rather than requiring a string here @@ -1556,13 +1665,21 @@ class EmitCImp final : EmitCStmts { } puts(" {\n"); + if (nodep->isLoose()) { + m_lazyDecls.declared(nodep); // Defined here, so no longer needs declaration + if (nodep->isStatic().falseUnknown()) { // Standard prologue + m_useSelfForThis = true; + puts("if (false && vlSelf) {} // Prevent unused\n"); + if (!VN_IS(m_modp, Class)) puts(symClassAssign()); + } + } + // "+" in the debug indicates a print from the model puts("VL_DEBUG_IF(VL_DBG_MSGF(\"+ "); for (int i = 0; i < m_modp->level(); ++i) { puts(" "); } - puts(prefixNameProtect(m_modp) + "::" + nodep->nameProtect() + "\\n\"); );\n"); - - // Declare and set vlTOPp - if (nodep->symProlog()) puts(EmitCBaseVisitor::symTopAssign() + "\n"); + puts(prefixNameProtect(m_modp)); + puts(nodep->isLoose() ? "__" : "::"); + puts(nodep->nameProtect() + "\\n\"); );\n"); if (nodep->initsp()) putsDecoration("// Variables\n"); for (AstNode* subnodep = nodep->argsp(); subnodep; subnodep = subnodep->nextp()) { @@ -1586,7 +1703,6 @@ class EmitCImp final : EmitCStmts { if (!m_blkChangeDetVec.empty()) puts("return __req;\n"); - // puts("__Vm_activity = true;\n"); puts("}\n"); if (nodep->ifdef() != "") puts("#endif // " + nodep->ifdef() + "\n"); } @@ -1695,37 +1811,28 @@ class EmitCImp final : EmitCStmts { // Don't recurse to children -- this isn't the place to emit // function definitions for the nested CFuncs. We'll do that at the // end. - puts("vlTOPp->__Vm_even_cycle = !vlTOPp->__Vm_even_cycle;\n"); + puts("vlSelf->__Vm_even_cycle = !vlSelf->__Vm_even_cycle;\n"); // Build the list of initial mtasks to start - std::vector execMTasks; - - // Start each root mtask - for (const V3GraphVertex* vxp = nodep->depGraphp()->verticesBeginp(); vxp; - vxp = vxp->verticesNextp()) { - const ExecMTask* etp = dynamic_cast(vxp); - if (etp->threadRoot()) execMTasks.push_back(etp); - } - UASSERT_OBJ(execMTasks.size() <= static_cast(v3Global.opt.threads()), nodep, - "More root mtasks than available threads"); + std::vector execMTasks = nodep->rootMTasks(); if (!execMTasks.empty()) { for (uint32_t i = 0; i < execMTasks.size(); ++i) { - bool runInline = (i == execMTasks.size() - 1); + const bool runInline = (i == execMTasks.size() - 1); + const string protName + = topClassName() + "__" + protect(execMTasks[i]->cFuncName()); if (runInline) { // The thread calling eval() will run this mtask inline, // along with its packed successors. - puts(protect(execMTasks[i]->cFuncName()) - + "(vlTOPp->__Vm_even_cycle, vlSymsp);\n"); + puts(protName + "(vlSelf, vlSelf->__Vm_even_cycle);\n"); puts("Verilated::mtaskId(0);\n"); } else { // The other N-1 go to the thread pool. - puts("vlTOPp->__Vm_threadPoolp->workerp(" + cvtToStr(i) + ")->addTask(" - + protect(execMTasks[i]->cFuncName()) - + ", vlTOPp->__Vm_even_cycle, vlSymsp);\n"); + puts("vlSelf->__Vm_threadPoolp->workerp(" + cvtToStr(i) + ")->addTask(" + + protName + ", vlSelf, vlSelf->__Vm_even_cycle);\n"); } } - puts("vlTOPp->__Vm_mt_final.waitUntilUpstreamDone(vlTOPp->__Vm_even_cycle);\n"); + puts("vlSelf->__Vm_mt_final.waitUntilUpstreamDone(vlSelf->__Vm_even_cycle);\n"); } } @@ -1755,7 +1862,7 @@ class EmitCImp final : EmitCStmts { void emitVarReset(AstVar* varp) { AstNodeDType* const dtypep = varp->dtypep()->skipRefp(); const string varNameProtected - = VN_IS(m_modp, Class) ? varp->nameProtect() : "self->" + varp->nameProtect(); + = VN_IS(m_modp, Class) ? varp->nameProtect() : "vlSelf->" + varp->nameProtect(); if (varp->isIO() && m_modp->isTop() && optSystemC()) { // System C top I/O doesn't need loading, as the lower level subinst code does it.} } else if (varp->isParam()) { @@ -1878,9 +1985,9 @@ class EmitCImp final : EmitCStmts { // High level void emitImpTop(); void emitImp(AstNodeModule* modp); - void emitSettleLoop(const std::string& eval_call, bool initial); - void emitWrapEval(AstNodeModule* modp); - void emitWrapFast(AstNodeModule* modp); + void emitSettleLoop(bool initial); + void emitWrapEval(); + void emitWrapFast(); void emitMTaskState(); void emitMTaskVertexCtors(bool* firstp); void emitIntTop(AstNodeModule* modp); @@ -1888,7 +1995,8 @@ class EmitCImp final : EmitCStmts { void maybeSplit(); public: - EmitCImp() = default; + EmitCImp() + : m_lazyDecls(*this) {} virtual ~EmitCImp() override = default; void mainImp(AstNodeModule* modp, bool slow); void mainInt(AstNodeModule* modp); @@ -2080,7 +2188,9 @@ void EmitCStmts::emitOpName(AstNode* nodep, const string& format, AstNode* lhsp, UASSERT_OBJ(m_wideTempRefp, nodep, "Wide Op w/ no temp, perhaps missing op in V3EmitC?"); COMMA; - puts(m_wideTempRefp->hiernameProtect()); + if (!m_wideTempRefp->selfPointer().empty()) { + emitDereference(m_wideTempRefp->selfPointerProtect(m_useSelfForThis)); + } puts(m_wideTempRefp->varp()->nameProtect()); m_wideTempRefp = nullptr; needComma = true; @@ -2408,7 +2518,7 @@ void EmitCStmts::displayNode(AstNode* nodep, AstScopeName* scopenamep, const str void EmitCImp::emitCoverageDecl(AstNodeModule*) { if (v3Global.opt.coverage()) { - ofp()->putsPrivate(true); + ofp()->putsPrivate(false); // Accessed from loose methods putsDecoration("// Coverage\n"); puts("void __vlCoverInsert("); puts(v3Global.opt.threads() ? "std::atomic" : "uint32_t"); @@ -2456,18 +2566,24 @@ void EmitCImp::emitCtorImp(AstNodeModule* modp) { string section; emitParams(modp, true, &first, section /*ref*/); + const string modName = prefixNameProtect(modp); + + puts("\n"); + m_lazyDecls.emit("void " + modName + "__", protect("_ctor_var_reset"), + "(" + modName + "* vlSelf);"); + puts("\n"); + if (VN_IS(modp, Class)) { modp->v3fatalSrc("constructors should be AstCFuncs instead"); } else if (optSystemC() && modp->isTop()) { - puts(prefixNameProtect(modp) + "::" + prefixNameProtect(modp) + "(sc_module_name)"); + puts(modName + "::" + modName + "(sc_module_name)"); } else if (modp->isTop()) { - puts(prefixNameProtect(modp) + "::" + prefixNameProtect(modp) + puts(modName + "::" + modName + "(VerilatedContext* _vcontextp__, const char* _vcname__)\n"); puts(" : VerilatedModule{_vcname__}\n"); first = false; // printed the first ':' } else { - puts(prefixNameProtect(modp) + "::" + prefixNameProtect(modp) - + "(const char* _vcname__)\n"); + puts(modName + "::" + modName + "(const char* _vcname__)\n"); puts(" : VerilatedModule(_vcname__)\n"); } emitVarCtors(&first); @@ -2483,7 +2599,7 @@ void EmitCImp::emitCtorImp(AstNodeModule* modp) { puts("\n"); } putsDecoration("// Reset structure values\n"); - puts(protect("_ctor_var_reset") + "(this);\n"); + puts(modName + "__" + protect("_ctor_var_reset") + "(this);\n"); emitTextSection(AstType::atScCtor); if (modp->isTop() && v3Global.opt.mtasks()) { @@ -2521,13 +2637,20 @@ void EmitCImp::emitCtorImp(AstNodeModule* modp) { } void EmitCImp::emitConfigureImp(AstNodeModule* modp) { - puts("\nvoid " + prefixNameProtect(modp) + "::" + protect("__Vconfigure") + "(" - + symClassName() + "* vlSymsp, bool first) {\n"); - puts("if (false && first) {} // Prevent unused\n"); - puts("this->__VlSymsp = vlSymsp;\n"); // First, as later stuff needs it. - puts("if (false && this->__VlSymsp) {} // Prevent unused\n"); + const string modName = prefixNameProtect(modp); + if (v3Global.opt.coverage()) { - puts(protect("_configure_coverage") + "(this, vlSymsp, first);\n"); + puts("\n"); + m_lazyDecls.emit("void " + modName + "__", protect("_configure_coverage"), + "(" + modName + "* vlSelf, bool first);"); + } + + puts("\nvoid " + modName + "::" + protect("__Vconfigure") + "(" + symClassName() + + "* vlSymsp, bool first) {\n"); + puts("if (false && first) {} // Prevent unused\n"); + puts("this->vlSymsp = vlSymsp;\n"); // First, as later stuff needs it. + if (v3Global.opt.coverage()) { + puts(modName + "__" + protect("_configure_coverage") + "(this, first);\n"); } if (modp->isTop() && !v3Global.rootp()->timeunit().isNone()) { puts("vlSymsp->_vm_contextp__->timeunit(" @@ -2562,12 +2685,12 @@ void EmitCImp::emitCoverageImp(AstNodeModule*) { // Used for second++ instantiation of identical bin puts("if (!enable) count32p = &fake_zero_count;\n"); puts("*count32p = 0;\n"); - puts("VL_COVER_INSERT(__VlSymsp->_vm_contextp__->coveragep(), count32p,"); + puts("VL_COVER_INSERT(vlSymsp->_vm_contextp__->coveragep(), count32p,"); puts(" \"filename\",filenamep,"); puts(" \"lineno\",lineno,"); puts(" \"column\",column,\n"); // Need to move hier into scopes and back out if do this - // puts( "\"hier\",std::string(__VlSymsp->name())+hierp,"); + // puts( "\"hier\",std::string(vlSymsp->name())+hierp,"); puts("\"hier\",std::string(name())+hierp,"); puts(" \"page\",pagep,"); puts(" \"comment\",commentp,"); @@ -2587,12 +2710,12 @@ void EmitCImp::emitDestructorImp(AstNodeModule* modp) { // Call via function in __Trace.cpp as this .cpp file does not have trace header if (v3Global.needTraceDumper()) { puts("#ifdef VM_TRACE\n"); - puts("if (VL_UNLIKELY(__VlSymsp->__Vm_dumping)) _traceDumpClose();\n"); + puts("if (VL_UNLIKELY(vlSymsp->__Vm_dumping)) _traceDumpClose();\n"); puts("#endif // VM_TRACE\n"); } } emitTextSection(AstType::atScDtor); - if (modp->isTop()) puts("VL_DO_CLEAR(delete __VlSymsp, __VlSymsp = nullptr);\n"); + if (modp->isTop()) puts("VL_DO_CLEAR(delete vlSymsp, vlSymsp = nullptr);\n"); puts("}\n"); splitSizeInc(10); } @@ -2629,7 +2752,7 @@ void EmitCImp::emitSavableImp(AstNodeModule* modp) { // If multiple models save the same context we'll save it multiple // times, but is harmless, and doing it otherwise would break // backwards compatibility. - puts("os " + op + " __VlSymsp->_vm_contextp__;\n"); + puts("os " + op + " vlSymsp->_vm_contextp__;\n"); // Save all members if (v3Global.opt.inhibitSim()) puts("os" + op + "__Vm_inhibitSim;\n"); @@ -2674,7 +2797,7 @@ void EmitCImp::emitSavableImp(AstNodeModule* modp) { } if (modp->isTop()) { // Save the children - puts("__VlSymsp->" + protect(funcname) + "(os);\n"); + puts("vlSymsp->" + protect(funcname) + "(os);\n"); } puts("}\n"); } @@ -2706,9 +2829,8 @@ void EmitCImp::emitCellCtors(AstNodeModule* modp) { if (modp->isTop()) { // Must be before other constructors, as __vlCoverInsert calls it // Note _vcontextp__ may be nullptr, VerilatedSyms::VerilatedSyms cleans it up - puts(EmitCBaseVisitor::symClassVar() + " = __VlSymsp = new " + symClassName() + "(" + puts(EmitCBaseVisitor::symClassVar() + " = new " + symClassName() + "(" + (optSystemC() ? "nullptr" : "_vcontextp__") + ", this, name());\n"); - puts(EmitCBaseVisitor::symTopAssign() + "\n"); } for (AstNode* nodep = modp->stmtsp(); nodep; nodep = nodep->nextp()) { if (AstCell* cellp = VN_CAST(nodep, Cell)) { @@ -2752,18 +2874,25 @@ void EmitCImp::emitSensitives() { } } -void EmitCImp::emitSettleLoop(const std::string& eval_call, bool initial) { +void EmitCImp::emitSettleLoop(bool initial) { + const string self = initial ? "vlSelf" : "this"; putsDecoration("// Evaluate till stable\n"); puts("int __VclockLoop = 0;\n"); puts("QData __Vchange = 1;\n"); + if (v3Global.opt.trace()) puts("vlSymsp->__Vm_activity = true;\n"); puts("do {\n"); - puts(eval_call + "\n"); + puts("VL_DEBUG_IF(VL_DBG_MSGF(\"+ "); + puts(initial ? "Initial" : "Clock"); + puts(" loop\\n\"););\n"); + if (initial) puts(topClassName() + "__" + protect("_eval_settle") + "(" + self + ");\n"); + puts(topClassName() + "__" + protect("_eval") + "(" + self + ");\n"); puts("if (VL_UNLIKELY(++__VclockLoop > " + cvtToStr(v3Global.opt.convergeLimit()) + ")) {\n"); puts("// About to fail, so enable debug to see what's not settling.\n"); puts("// Note you must run make with OPT=-DVL_DEBUG for debug prints.\n"); puts("int __Vsaved_debug = Verilated::debug();\n"); puts("Verilated::debug(1);\n"); - puts("__Vchange = " + protect("_change_request") + "(vlSymsp);\n"); + puts("__Vchange = " + topClassName() + "__" + protect("_change_request") + "(" + self + + ");\n"); puts("Verilated::debug(__Vsaved_debug);\n"); puts("VL_FATAL_MT("); putsQuoted(protect(m_modp->fileline()->filename())); @@ -2775,30 +2904,56 @@ void EmitCImp::emitSettleLoop(const std::string& eval_call, bool initial) { puts("converge\\n\"\n"); puts("\"- See https://verilator.org/warn/DIDNOTCONVERGE\");\n"); puts("} else {\n"); - puts("__Vchange = " + protect("_change_request") + "(vlSymsp);\n"); + puts("__Vchange = " + topClassName() + "__" + protect("_change_request") + "(" + self + + ");\n"); puts("}\n"); puts("} while (VL_UNLIKELY(__Vchange));\n"); } -void EmitCImp::emitWrapFast(AstNodeModule* modp) { - puts("\nVerilatedContext* " + prefixNameProtect(modp) + "::contextp() {\n"); - puts(/**/ "return __VlSymsp->_vm_contextp__;\n"); +void EmitCImp::emitWrapFast() { + UASSERT_OBJ(m_modp->isTop(), m_modp, "Attempting to emitWrapFast for non-top class"); + puts("\nVerilatedContext* " + topClassName() + "::contextp() const {\n"); + puts(/**/ "return vlSymsp->_vm_contextp__;\n"); puts("}\n"); } -void EmitCImp::emitWrapEval(AstNodeModule* modp) { - puts("\nvoid " + prefixNameProtect(modp) + "::eval_step() {\n"); - puts("VL_DEBUG_IF(VL_DBG_MSGF(\"+++++TOP Evaluate " + prefixNameProtect(modp) - + "::eval\\n\"); );\n"); - puts(EmitCBaseVisitor::symClassVar() + " = this->__VlSymsp; // Setup global symbol table\n"); - puts(EmitCBaseVisitor::symTopAssign() + "\n"); +void EmitCImp::emitWrapEval() { + UASSERT_OBJ(m_modp->isTop(), m_modp, "Attempting to emitWrapEval for non-top class"); + + const string selfDecl = "(" + topClassName() + "* vlSelf)"; + + // Forward declarations + puts("\n"); + m_lazyDecls.emit("void " + topClassName() + "__", protect("_eval_initial"), selfDecl + ";"); + m_lazyDecls.emit("void " + topClassName() + "__", protect("_eval_settle"), selfDecl + ";"); + m_lazyDecls.emit("void " + topClassName() + "__", protect("_eval"), selfDecl + ";"); + m_lazyDecls.emit("QData " + topClassName() + "__", protect("_change_request"), selfDecl + ";"); + puts("#ifdef VL_DEBUG\n"); + m_lazyDecls.emit("void " + topClassName() + "__", protect("_eval_debug_assertions"), + selfDecl + ";"); + puts("#endif // VL_DEBUG\n"); + m_lazyDecls.emit("void " + topClassName() + "__", protect("_final"), selfDecl + ";"); + + // _eval_initial_loop + puts("\nstatic void " + protect("_eval_initial_loop") + selfDecl + " {\n"); + puts(symClassAssign()); + puts("vlSymsp->__Vm_didInit = true;\n"); + puts(topClassName() + "__" + protect("_eval_initial") + "(vlSelf);\n"); + emitSettleLoop(/* initial: */ true); + ensureNewLine(); + puts("}\n"); + + // ::eval_step + puts("\nvoid " + topClassName() + "::eval_step() {\n"); + puts("VL_DEBUG_IF(VL_DBG_MSGF(\"+++++TOP Evaluate " + topClassName() + + "::eval_step\\n\"); );\n"); puts("#ifdef VL_DEBUG\n"); putsDecoration("// Debug assertions\n"); - puts(protect("_eval_debug_assertions") + "();\n"); + puts(topClassName() + "__" + protect("_eval_debug_assertions") + "(this);\n"); puts("#endif // VL_DEBUG\n"); putsDecoration("// Initialize\n"); puts("if (VL_UNLIKELY(!vlSymsp->__Vm_didInit)) " + protect("_eval_initial_loop") - + "(vlSymsp);\n"); + + "(this);\n"); if (v3Global.opt.inhibitSim()) puts("if (VL_UNLIKELY(__Vm_inhibitSim)) return;\n"); if (v3Global.opt.threads() == 1) { @@ -2814,45 +2969,42 @@ void EmitCImp::emitWrapEval(AstNodeModule* modp) { puts(" && (VL_TIME_Q() > vlSymsp->_vm_contextp__->profThreadsStart())\n"); puts(" && (vlSymsp->_vm_contextp__->profThreadsWindow() >= 1))) {\n"); // Within a profile (either starting, middle, or end) - puts("if (vlTOPp->__Vm_profile_window_ct == 0) {\n"); // Opening file? + puts("if (__Vm_profile_window_ct == 0) {\n"); // Opening file? // Start profile on this cycle. We'll capture a window worth, then // only analyze the next window worth. The idea is that the first window // capture will hit some cache-cold stuff (eg printf) but it'll be warm // by the time we hit the second window, we hope. - puts("vlTOPp->__Vm_profile_cycle_start = VL_RDTSC_Q();\n"); + puts("__Vm_profile_cycle_start = VL_RDTSC_Q();\n"); // "* 2" as first half is warmup, second half is collection - puts("vlTOPp->__Vm_profile_window_ct = vlSymsp->_vm_contextp__->profThreadsWindow() * 2 + " + puts("__Vm_profile_window_ct = vlSymsp->_vm_contextp__->profThreadsWindow() * 2 " + "+ " "1;\n"); puts("}\n"); - puts("--vlTOPp->__Vm_profile_window_ct;\n"); - puts("if (vlTOPp->__Vm_profile_window_ct == " - "(vlSymsp->_vm_contextp__->profThreadsWindow())) {\n"); + puts("--__Vm_profile_window_ct;\n"); + puts("if (__Vm_profile_window_ct == vlSymsp->_vm_contextp__->profThreadsWindow()) " + "{\n"); // This barrier record in every threads' profile demarcates the // cache-warm-up cycles before the barrier from the actual profile // cycles afterward. - puts("vlTOPp->__Vm_threadPoolp->profileAppendAll("); + puts("__Vm_threadPoolp->profileAppendAll("); puts("VlProfileRec(VlProfileRec::Barrier()));\n"); - puts("vlTOPp->__Vm_profile_cycle_start = VL_RDTSC_Q();\n"); + puts("__Vm_profile_cycle_start = VL_RDTSC_Q();\n"); puts("}\n"); - puts("else if (vlTOPp->__Vm_profile_window_ct == 0) {\n"); + puts("else if (__Vm_profile_window_ct == 0) {\n"); // Ending file. - puts("vluint64_t elapsed = VL_RDTSC_Q() - vlTOPp->__Vm_profile_cycle_start;\n"); - puts( - "vlTOPp->__Vm_threadPoolp->profileDump(vlSymsp->_vm_contextp__->profThreadsFilename()." - "c_str(), elapsed);\n"); + puts("vluint64_t elapsed = VL_RDTSC_Q() - __Vm_profile_cycle_start;\n"); + puts("__Vm_threadPoolp->profileDump(vlSymsp->_vm_contextp__->profThreadsFilename()." + "c_str(), elapsed);\n"); // This turns off the test to enter the profiling code, but still // allows the user to collect another profile by changing // profThreadsStart puts("__Vm_profile_time_finished = vlSymsp->_vm_contextp__->profThreadsStart();\n"); - puts("vlTOPp->__Vm_profile_cycle_start = 0;\n"); + puts("__Vm_profile_cycle_start = 0;\n"); puts("}\n"); puts("}\n"); } - emitSettleLoop((string("VL_DEBUG_IF(VL_DBG_MSGF(\"+ Clock loop\\n\"););\n") - + (v3Global.opt.trace() ? "vlSymsp->__Vm_activity = true;\n" : "") - + protect("_eval") + "(vlSymsp);"), - false); + emitSettleLoop(/* initial: */ false); if (v3Global.opt.threads() == 1) { puts("Verilated::endOfThreadMTask(vlSymsp->__Vm_evalMsgQp);\n"); } @@ -2860,31 +3012,23 @@ void EmitCImp::emitWrapEval(AstNodeModule* modp) { puts("}\n"); splitSizeInc(10); - // + // ::eval_end_step if (v3Global.needTraceDumper() && !optSystemC()) { - puts("\nvoid " + prefixNameProtect(modp) + "::eval_end_step() {\n"); - puts("VL_DEBUG_IF(VL_DBG_MSGF(\"+eval_end_step " + prefixNameProtect(modp) + puts("\nvoid " + topClassName() + "::eval_end_step() {\n"); + puts("VL_DEBUG_IF(VL_DBG_MSGF(\"+eval_end_step " + topClassName() + "::eval_end_step\\n\"); );\n"); puts("#ifdef VM_TRACE\n"); - puts(EmitCBaseVisitor::symClassVar() - + " = this->__VlSymsp; // Setup global symbol table\n"); - puts(EmitCBaseVisitor::symTopAssign() + "\n"); putsDecoration("// Tracing\n"); // SystemC's eval loop deals with calling trace, not us puts("if (VL_UNLIKELY(vlSymsp->__Vm_dumping)) _traceDump();\n"); puts("#endif // VM_TRACE\n"); puts("}\n"); + splitSizeInc(10); } - // - puts("\nvoid " + prefixNameProtect(modp) + "::" + protect("_eval_initial_loop") + "(" - + EmitCBaseVisitor::symClassVar() + ") {\n"); - puts("vlSymsp->__Vm_didInit = true;\n"); - puts(protect("_eval_initial") + "(vlSymsp);\n"); - if (v3Global.opt.trace()) puts("vlSymsp->__Vm_activity = true;\n"); - emitSettleLoop((protect("_eval_settle") + "(vlSymsp);\n" // - + protect("_eval") + "(vlSymsp);"), - true); + // ::final + puts("\nvoid " + topClassName() + "::final() {\n"); + puts(topClassName() + "__" + protect("_final") + "(this);\n"); puts("}\n"); splitSizeInc(10); } @@ -3079,7 +3223,7 @@ void EmitCStmts::emitSortedVarList(const VarVec& anons, const VarVec& nonanons, } void EmitCImp::emitMTaskState() { - ofp()->putsPrivate(true); + ofp()->putsPrivate(false); // Accessed from loose function AstExecGraph* execGraphp = v3Global.rootp()->execGraphp(); UASSERT_OBJ(execGraphp, v3Global.rootp(), "Root should have an execGraphp"); @@ -3201,8 +3345,8 @@ void EmitCImp::emitInt(AstNodeModule* modp) { puts("\n// INTERNAL VARIABLES\n"); if (modp->isTop()) puts("// Internals; generally not touched by application code\n"); if (!VN_IS(modp, Class)) { // Avoid clang unused error (& don't want in every object) - ofp()->putsPrivate(!modp->isTop()); // private: unless top - puts(symClassName() + "* __VlSymsp; // Symbol table\n"); + ofp()->putsPrivate(false); // public: so loose methods can pick it up + puts(symClassName() + "* vlSymsp; // Symbol table\n"); } ofp()->putsPrivate(false); // public: if (modp->isTop()) { @@ -3282,7 +3426,7 @@ void EmitCImp::emitInt(AstNodeModule* modp) { puts("\n// API METHODS\n"); puts("/// Return current simulation context for this model.\n"); puts("/// Used to get to e.g. simulation time via contextp()->time()\n"); - puts("VerilatedContext* contextp();\n"); + puts("VerilatedContext* contextp() const;\n"); string callEvalEndStep = (v3Global.needTraceDumper() && !optSystemC()) ? "eval_end_step(); " : ""; @@ -3321,9 +3465,7 @@ void EmitCImp::emitInt(AstNodeModule* modp) { puts("\n// INTERNAL METHODS\n"); if (modp->isTop()) { - ofp()->putsPrivate(false); // public: as accessed by another VL_MODULE - puts("static void " + protect("_eval_initial_loop") + "(" + EmitCBaseVisitor::symClassVar() - + ");\n"); + ofp()->putsPrivate(false); // public: as accessed by loose functions if (v3Global.needTraceDumper()) { if (!optSystemC()) puts("void _traceDump();\n"); puts("void _traceDumpOpen();\n"); @@ -3339,11 +3481,6 @@ void EmitCImp::emitInt(AstNodeModule* modp) { ofp()->putsPrivate(false); // public: emitIntFuncDecls(modp, true); - if (v3Global.opt.trace() && !VN_IS(modp, Class)) { - ofp()->putsPrivate(true); // private: - puts("static void " + protect("traceInit") + "(void* userp, " - + v3Global.opt.traceClassBase() + "* tracep, uint32_t code) VL_ATTR_COLD;\n"); - } if (v3Global.opt.savable()) { ofp()->putsPrivate(false); // public: puts("void " + protect("__Vserialize") + "(VerilatedSerialize& os);\n"); @@ -3402,8 +3539,8 @@ void EmitCImp::emitImp(AstNodeModule* modp) { if (m_fast) { emitTextSection(AstType::atScImp); if (modp->isTop()) { - emitWrapFast(modp); - emitWrapEval(modp); + emitWrapFast(); + emitWrapEval(); } } @@ -3496,7 +3633,8 @@ void EmitCImp::mainImp(AstNodeModule* modp, bool slow) { class EmitCTrace final : EmitCStmts { // NODE STATE/TYPES // Cleared on netlist - // AstNode::user1() -> int. Enum number + // AstNode::user1() -> int. Enum number + // AstNode::user2() -> bool. Used by EmitCLazyDecls (already declared) AstUser1InUse m_inuser1; // MEMBERS @@ -3504,9 +3642,12 @@ class EmitCTrace final : EmitCStmts { bool m_slow; // Making slow file int m_enumNum = 0; // Enumeration number (whole netlist) int m_baseCode = -1; // Code of first AstTraceInc in this function + EmitCLazyDecls m_lazyDecls; // Visitor for emitting lazy declarations // METHODS void newOutCFile(int filenum) { + m_lazyDecls.reset(); // Need to emit new lazy declarations + string filename = (v3Global.opt.makeDir() + "/" + topClassName() + "_" + protect("_Trace")); if (filenum) filename += "__" + cvtToStr(filenum); @@ -3537,64 +3678,74 @@ class EmitCTrace final : EmitCStmts { } void emitTraceSlow() { - puts("\n//======================\n\n"); + puts("\n//======================\n"); if (v3Global.needTraceDumper() && !optSystemC()) { - puts("void " + topClassName() + "::_traceDump() {\n"); + puts("\nvoid " + topClassName() + "::_traceDump() {\n"); // Caller checked for __Vm_dumperp non-nullptr - puts("const VerilatedLockGuard lock(__VlSymsp->__Vm_dumperMutex);\n"); - puts("__VlSymsp->__Vm_dumperp->dump(VL_TIME_Q());\n"); + puts("const VerilatedLockGuard lock(vlSymsp->__Vm_dumperMutex);\n"); + puts("vlSymsp->__Vm_dumperp->dump(VL_TIME_Q());\n"); puts("}\n"); splitSizeInc(10); } if (v3Global.needTraceDumper()) { - puts("void " + topClassName() + "::_traceDumpOpen() {\n"); - puts("const VerilatedLockGuard lock(__VlSymsp->__Vm_dumperMutex);\n"); - puts("if (VL_UNLIKELY(!__VlSymsp->__Vm_dumperp)) {\n"); - puts("__VlSymsp->__Vm_dumperp = new " + v3Global.opt.traceClassLang() + "();\n"); - puts("trace(__VlSymsp->__Vm_dumperp, 0, 0);\n"); - puts("std::string dumpfile = __VlSymsp->_vm_contextp__->dumpfileCheck();\n"); - puts("__VlSymsp->__Vm_dumperp->open(dumpfile.c_str());\n"); - puts("__VlSymsp->__Vm_dumping = true;\n"); + puts("\nvoid " + topClassName() + "::_traceDumpOpen() {\n"); + puts("const VerilatedLockGuard lock(vlSymsp->__Vm_dumperMutex);\n"); + puts("if (VL_UNLIKELY(!vlSymsp->__Vm_dumperp)) {\n"); + puts("vlSymsp->__Vm_dumperp = new " + v3Global.opt.traceClassLang() + "();\n"); + puts("trace(vlSymsp->__Vm_dumperp, 0, 0);\n"); + puts("std::string dumpfile = vlSymsp->_vm_contextp__->dumpfileCheck();\n"); + puts("vlSymsp->__Vm_dumperp->open(dumpfile.c_str());\n"); + puts("vlSymsp->__Vm_dumping = true;\n"); puts("}\n"); puts("}\n"); splitSizeInc(10); - puts("void " + topClassName() + "::_traceDumpClose() {\n"); - puts("const VerilatedLockGuard lock(__VlSymsp->__Vm_dumperMutex);\n"); - puts("__VlSymsp->__Vm_dumping = false;\n"); - puts("VL_DO_CLEAR(delete __VlSymsp->__Vm_dumperp, __VlSymsp->__Vm_dumperp = " + puts("\nvoid " + topClassName() + "::_traceDumpClose() {\n"); + puts("const VerilatedLockGuard lock(vlSymsp->__Vm_dumperMutex);\n"); + puts("vlSymsp->__Vm_dumping = false;\n"); + puts("VL_DO_CLEAR(delete vlSymsp->__Vm_dumperp, vlSymsp->__Vm_dumperp = " "nullptr);\n"); puts("}\n"); splitSizeInc(10); } - puts("void " + topClassName() + "::trace("); - puts(v3Global.opt.traceClassBase() + "C* tfp, int, int) {\n"); - puts("tfp->spTrace()->addInitCb(&" + protect("traceInit") + ", __VlSymsp);\n"); - puts(protect("traceRegister") + "(tfp->spTrace());\n"); - puts("}\n"); puts("\n"); - splitSizeInc(10); + m_lazyDecls.emit("void " + topClassName() + "__", protect("traceInitTop"), + "(" + topClassName() + "* vlSelf, " + v3Global.opt.traceClassBase() + + "* tracep);"); - puts("void " + topClassName() + "::" + protect("traceInit") + "(void* userp, " + puts("\nstatic void " + protect("traceInit") + "(void* voidSelf, " + v3Global.opt.traceClassBase() + "* tracep, uint32_t code) {\n"); putsDecoration("// Callback from tracep->open()\n"); - puts(symClassVar() + " = static_cast<" + symClassName() + "*>(userp);\n"); - puts("if (!vlSymsp->_vm_contextp__->calcUnusedSigs()) {\n"); + puts(topClassName() + "*const __restrict vlSelf = static_cast<" + topClassName() + + "*>(voidSelf);\n"); + puts("if (!vlSelf->vlSymsp->_vm_contextp__->calcUnusedSigs()) {\n"); puts("VL_FATAL_MT(__FILE__, __LINE__, __FILE__,\n"); puts(" \"Turning on wave traces requires Verilated::traceEverOn(true) call " "before time 0.\");\n"); puts("}\n"); - puts("vlSymsp->__Vm_baseCode = code;\n"); - puts("tracep->module(vlSymsp->name());\n"); + puts("vlSelf->vlSymsp->__Vm_baseCode = code;\n"); + puts("tracep->module(vlSelf->vlSymsp->name());\n"); puts("tracep->scopeEscape(' ');\n"); - puts(topClassName() + "::" + protect("traceInitTop") + "(vlSymsp, tracep);\n"); + puts(topClassName() + "__" + protect("traceInitTop") + "(vlSelf, tracep);\n"); puts("tracep->scopeEscape('.');\n"); // Restore so later traced files won't break puts("}\n"); splitSizeInc(10); + puts("\n"); + m_lazyDecls.emit("void " + topClassName() + "__", protect("traceRegister"), + "(" + topClassName() + "* vlSelf, " + v3Global.opt.traceClassBase() + + "* tracep);"); + + puts("\nvoid " + topClassName() + "::trace("); + puts(v3Global.opt.traceClassBase() + "C* tfp, int, int) {\n"); + puts("tfp->spTrace()->addInitCb(&" + protect("traceInit") + ", this);\n"); + puts(topClassName() + "__" + protect("traceRegister") + "(this, tfp->spTrace());\n"); + puts("}\n"); + splitSizeInc(10); + puts("\n//======================\n\n"); } @@ -3828,10 +3979,15 @@ class EmitCTrace final : EmitCStmts { // Top module only iterate(nodep->topModulep()); } - virtual void visit(AstNodeModule* nodep) override { iterateChildren(nodep); } + virtual void visit(AstNodeModule* nodep) override { + m_modp = nodep; + iterateChildren(nodep); + m_modp = nullptr; + } virtual void visit(AstCFunc* nodep) override { if (nodep->slow() != m_slow) return; VL_RESTORER(m_cfuncp); + VL_RESTORER(m_useSelfForThis); if (nodep->funcType().isTrace()) { // TRACE_* m_cfuncp = nodep; @@ -3847,15 +4003,24 @@ class EmitCTrace final : EmitCStmts { splitSizeInc(nodep); puts("\n"); - puts(nodep->rtnTypeVoid()); - puts(" "); - puts(topClassName() + "::" + nodep->nameProtect() + "(" + cFuncArgs(nodep) + ") {\n"); + m_lazyDecls.emit(nodep); + emitCFuncHeader(nodep, m_modp, /* withScope: */ true); + puts(" {\n"); - if (nodep->funcType() != AstCFuncType::TRACE_REGISTER) { - puts(symClassVar() + " = static_cast<" + symClassName() + "*>(userp);\n"); + if (nodep->isLoose()) { + m_lazyDecls.declared(nodep); // Defined here, so no longer needs declaration + if (nodep->isStatic().falseUnknown()) { // Standard prologue + puts("if (false && vlSelf) {} // Prevent unused\n"); + m_useSelfForThis = true; + puts(symClassAssign()); + } } - if (nodep->symProlog()) puts(symTopAssign() + "\n"); + if (nodep->initsp()) { + string section; + emitVarList(nodep->initsp(), EVL_FUNC_ALL, "", section /*ref*/); + iterateAndNextNull(nodep->initsp()); + } m_baseCode = -1; @@ -3884,13 +4049,6 @@ class EmitCTrace final : EmitCStmts { puts("if (false && tracep && c) {} // Prevent unused\n"); } - if (nodep->initsp()) { - string section; - putsDecoration("// Variables\n"); - emitVarList(nodep->initsp(), EVL_FUNC_ALL, "", section /*ref*/); - iterateAndNextNull(nodep->initsp()); - } - if (nodep->stmtsp()) { putsDecoration("// Body\n"); puts("{\n"); @@ -3930,7 +4088,8 @@ class EmitCTrace final : EmitCStmts { public: explicit EmitCTrace(bool slow) - : m_slow{slow} {} + : m_slow{slow} + , m_lazyDecls(*this) {} virtual ~EmitCTrace() override = default; void main() { // Put out the file @@ -3947,8 +4106,20 @@ public: //###################################################################### // EmitC class functions +static void setParentClassPointers() { + // Set user4p in all CFunc and Var to point to the containing AstNodeModule + for (AstNode* modp = v3Global.rootp()->modulesp(); modp; modp = modp->nextp()) { + for (AstNode* nodep = VN_CAST(modp, NodeModule)->stmtsp(); nodep; nodep = nodep->nextp()) { + if (VN_IS(nodep, CFunc) || VN_IS(nodep, Var)) nodep->user4p(modp); + } + } +} + void V3EmitC::emitc() { UINFO(2, __FUNCTION__ << ": " << endl); + // Set user4 to parent module + AstUser4InUse user4InUse; + setParentClassPointers(); // Process each module in turn for (AstNodeModule* nodep = v3Global.rootp()->modulesp(); nodep; nodep = VN_CAST(nodep->nextp(), NodeModule)) { @@ -3968,6 +4139,9 @@ void V3EmitC::emitc() { void V3EmitC::emitcTrace() { UINFO(2, __FUNCTION__ << ": " << endl); if (v3Global.opt.trace()) { + // Set user4 to parent module + AstUser4InUse user4InUse; + setParentClassPointers(); { EmitCTrace slow(true); slow.main(); diff --git a/src/V3EmitCBase.h b/src/V3EmitCBase.h index 6b448916a..6a3d471c1 100644 --- a/src/V3EmitCBase.h +++ b/src/V3EmitCBase.h @@ -43,6 +43,7 @@ public: if (v3Global.opt.decoration()) puts(str); } void putsQuoted(const string& str) { ofp()->putsQuoted(str); } + void ensureNewLine() { ofp()->ensureNewLine(); } bool optSystemC() { return v3Global.opt.systemC(); } static string protect(const string& name) { return VIdProtect::protectIf(name, true); } static string protectIf(const string& name, bool doIt) { @@ -54,22 +55,30 @@ public: static string ifNoProtect(const string& in) { return v3Global.opt.protectIds() ? "" : in; } static string symClassName() { return v3Global.opt.prefix() + "_" + protect("_Syms"); } static string symClassVar() { return symClassName() + "* __restrict vlSymsp"; } - static string symTopAssign() { - return v3Global.opt.prefix() + "* const __restrict vlTOPp VL_ATTR_UNUSED = vlSymsp->TOPp;"; + static string symClassAssign() { + return symClassName() + "* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp;\n"; } - static string funcNameProtect(const AstCFunc* nodep, const AstNodeModule* modp) { + static string funcNameProtect(const AstCFunc* nodep) { + AstNodeModule* modp = VN_CAST(nodep->user4p(), NodeModule); + string name; if (nodep->isConstructor()) { - return prefixNameProtect(modp); + name += prefixNameProtect(modp); } else if (nodep->isDestructor()) { - return string("~") + prefixNameProtect(modp); + name += "~"; + name += prefixNameProtect(modp); } else { - return nodep->nameProtect(); + if (nodep->isLoose()) { + name += prefixNameProtect(modp); + name += "__"; + } + name += nodep->nameProtect(); } + return name; } static string prefixNameProtect(const AstNode* nodep) { // C++ name with prefix const AstNodeModule* modp = VN_CAST_CONST(nodep, NodeModule); if (modp && modp->isTop()) { - return v3Global.opt.prefix(); + return topClassName(); } else { return v3Global.opt.modPrefix() + "_" + protect(nodep->name()); } @@ -86,7 +95,17 @@ public: } string cFuncArgs(const AstCFunc* nodep) { // Return argument list for given C function - string args = nodep->argTypes(); + string args; + if (nodep->isLoose() && nodep->isStatic().falseUnknown()) { + if (nodep->isConst().trueKnown()) args += "const "; + AstNodeModule* modp = VN_CAST(nodep->user4p(), NodeModule); + args += prefixNameProtect(modp); + args += "* vlSelf"; + } + if (!nodep->argTypes().empty()) { + if (!args.empty()) args += ", "; + args += nodep->argTypes(); + } // Might be a user function with argument list. for (const AstNode* stmtp = nodep->argsp(); stmtp; stmtp = stmtp->nextp()) { if (const AstVar* portp = VN_CAST_CONST(stmtp, Var)) { @@ -105,6 +124,31 @@ public: return args; } + void emitCFuncHeader(const AstCFunc* funcp, const AstNodeModule* modp, bool withScope) { + if (!funcp->isConstructor() && !funcp->isDestructor()) { + puts(funcp->rtnTypeVoid()); + puts(" "); + } + if (withScope && funcp->isProperMethod()) puts(prefixNameProtect(modp) + "::"); + puts(funcNameProtect(funcp)); + puts("(" + cFuncArgs(funcp) + ")"); + if (funcp->isConst().trueKnown() && funcp->isProperMethod()) puts(" const"); + } + + void emitCFuncDecl(const AstCFunc* funcp, const AstNodeModule* modp) { + ensureNewLine(); + if (!funcp->ifdef().empty()) puts("#ifdef " + funcp->ifdef() + "\n"); + if (funcp->isStatic().trueUnknown() && funcp->isProperMethod()) puts("static "); + if (funcp->isVirtual()) { + UASSERT_OBJ(funcp->isProperMethod(), funcp, "Virtual function is not a proper method"); + puts("virtual "); + } + emitCFuncHeader(funcp, modp, /* withScope: */ false); + if (funcp->slow()) puts(" VL_ATTR_COLD"); + puts(";\n"); + if (!funcp->ifdef().empty()) puts("#endif // " + funcp->ifdef() + "\n"); + } + // CONSTRUCTORS EmitCBaseVisitor() = default; virtual ~EmitCBaseVisitor() override = default; diff --git a/src/V3EmitV.cpp b/src/V3EmitV.cpp index a79cb32d4..648e7510c 100644 --- a/src/V3EmitV.cpp +++ b/src/V3EmitV.cpp @@ -624,9 +624,12 @@ class EmitVBaseVisitor VL_NOT_FINAL : public EmitCBaseVisitor { if (nodep->varScopep()) { putfs(nodep, nodep->varScopep()->prettyName()); } else { - putfs(nodep, nodep->hiernameToUnprot()); - puts(nodep->hiernameToProt()); - puts(nodep->varp()->prettyName()); + if (nodep->selfPointer().empty()) { + putfs(nodep, nodep->varp()->prettyName()); + } else { + putfs(nodep, nodep->selfPointer() + "->"); + puts(nodep->varp()->prettyName()); + } } } virtual void visit(AstVarXRef* nodep) override { diff --git a/src/V3File.cpp b/src/V3File.cpp index 43c07ac17..2fcda4831 100644 --- a/src/V3File.cpp +++ b/src/V3File.cpp @@ -966,7 +966,7 @@ public: VIdProtectImp() { passthru("this"); passthru("TOPp"); - passthru("vlTOPp"); + passthru("vlSelf"); passthru("vlSymsp"); } ~VIdProtectImp() = default; @@ -1016,8 +1016,7 @@ public: } } string protectWordsIf(const string& old, bool doIt) { - // Split at " " (for traces), "." (for scopes), "->" (for scopes), "::" (for superclass - // reference) + // Split at " " (for traces), "." (for scopes), "->", "(", "&", ")" (for self pointers) if (!(doIt && v3Global.opt.protectIds())) return old; string out; string::size_type start = 0; @@ -1029,7 +1028,9 @@ public: trySep(old, start, " ", pos /*ref*/, separator /*ref*/); trySep(old, start, ".", pos /*ref*/, separator /*ref*/); trySep(old, start, "->", pos /*ref*/, separator /*ref*/); - trySep(old, start, "::", pos /*ref*/, separator /*ref*/); + trySep(old, start, "(", pos /*ref*/, separator /*ref*/); + trySep(old, start, "&", pos /*ref*/, separator /*ref*/); + trySep(old, start, ")", pos /*ref*/, separator /*ref*/); if (pos == string::npos) break; out += protectIf(old.substr(start, pos - start), true) + separator; start = pos + separator.length(); diff --git a/src/V3File.h b/src/V3File.h index 9d22a77b0..785a2d0c9 100644 --- a/src/V3File.h +++ b/src/V3File.h @@ -165,6 +165,9 @@ public: void blockDec() { if (!m_parenVec.empty()) m_parenVec.pop(); } + void ensureNewLine() { + if (!m_nobreak) puts("\n"); + } // STATIC METHODS static string indentSpaces(int num); // Add escaped characters to strings diff --git a/src/V3Hasher.cpp b/src/V3Hasher.cpp index 625e923a3..7562c0e16 100644 --- a/src/V3Hasher.cpp +++ b/src/V3Hasher.cpp @@ -199,7 +199,7 @@ private: iterateNull(nodep->varScopep()); } else { iterateNull(nodep->varp()); - m_hash += nodep->hiernameToProt(); + m_hash += nodep->selfPointer(); } }); } @@ -229,6 +229,11 @@ private: m_hash += nodep->text(); }); } + virtual void visit(AstAddrOfCFunc* nodep) override { + m_hash += hashNodeAndIterate(nodep, HASH_DTYPE, HASH_CHILDREN, [=]() { // + iterateNull(nodep->funcp()); + }); + } //------------------------------------------------------------ // AstNodeStmt @@ -364,7 +369,9 @@ private: }); } virtual void visit(AstCFunc* nodep) override { - m_hash += hashNodeAndIterate(nodep, HASH_DTYPE, HASH_CHILDREN, [=]() {}); + m_hash += hashNodeAndIterate(nodep, HASH_DTYPE, HASH_CHILDREN, [=]() { // + m_hash += nodep->isLoose(); + }); } virtual void visit(AstVar* nodep) override { m_hash += hashNodeAndIterate(nodep, HASH_DTYPE, HASH_CHILDREN, [=]() { diff --git a/src/V3Localize.cpp b/src/V3Localize.cpp index 42cf12d66..12e10f41a 100644 --- a/src/V3Localize.cpp +++ b/src/V3Localize.cpp @@ -76,8 +76,7 @@ private: // cppcheck-suppress unreadVariable // cppcheck 1.90 bug VarFlags flags(nodep->varp()); if (flags.m_done) { - nodep->hiernameToProt(""); // Remove this-> - nodep->hiernameToUnprot(""); // Remove this-> + nodep->selfPointer(""); // Remove 'this' nodep->hierThis(true); } } diff --git a/src/V3Order.cpp b/src/V3Order.cpp index 9baa69c7a..df9787a11 100644 --- a/src/V3Order.cpp +++ b/src/V3Order.cpp @@ -1754,14 +1754,13 @@ AstActive* OrderVisitor::processMoveOneLogic(const OrderLogicVertex* lvertexp, if (!newFuncpr && domainp != m_deleteDomainp) { const string name = cfuncName(modp, domainp, scopep, nodep); newFuncpr = new AstCFunc(nodep->fileline(), name, scopep); - newFuncpr->argTypes(EmitCBaseVisitor::symClassVar()); - newFuncpr->symProlog(true); + newFuncpr->isStatic(false); + newFuncpr->isLoose(true); newStmtsr = 0; if (domainp->hasInitial() || domainp->hasSettle()) newFuncpr->slow(true); scopep->addActivep(newFuncpr); // Create top call to it AstCCall* const callp = new AstCCall(nodep->fileline(), newFuncpr); - callp->argTypes("vlSymsp"); // Where will we be adding the call? AstActive* const newActivep = new AstActive(nodep->fileline(), name, domainp); newActivep->addStmtsp(callp); diff --git a/src/V3String.cpp b/src/V3String.cpp index 487643a4f..d69629394 100644 --- a/src/V3String.cpp +++ b/src/V3String.cpp @@ -157,6 +157,23 @@ double VString::parseDouble(const string& str, bool* successp) { return d; } +static bool isWordChar(char c) { return isalnum(c) || c == '_'; } + +string VString::replaceWord(const string& str, const string& from, const string& to) { + string result = str; + const size_t len = from.size(); + UASSERT_STATIC(len > 0, "Cannot replace empty string"); + for (size_t pos = 0; (pos = result.find(from, pos)) != string::npos; pos += len) { + // Only replace whole words + if (((pos > 0) && isWordChar(result[pos - 1])) || // + ((pos + len < result.size()) && isWordChar(result[pos + len]))) { + continue; + } + result.replace(pos, len, to); + } + return result; +} + //###################################################################### // VHashSha256 diff --git a/src/V3String.h b/src/V3String.h index e151fd758..47c41e9ee 100644 --- a/src/V3String.h +++ b/src/V3String.h @@ -100,6 +100,10 @@ public: static bool isWhitespace(const string& str); // Return double by parsing string static double parseDouble(const string& str, bool* successp); + // Replace all occurrences of the word 'from' in 'str' with 'to'. A word is considered + // to be a consecutive sequence of the characters [a-zA-Z0-9_]. Sub-words are not replaced. + // e.g.: replaceWords("one apple bad_apple", "apple", "banana") -> "one banana bad_apple" + static string replaceWord(const string& str, const string& from, const string& to); }; //###################################################################### diff --git a/src/V3Task.cpp b/src/V3Task.cpp index 9dcfc0c04..99dc0a04c 100644 --- a/src/V3Task.cpp +++ b/src/V3Task.cpp @@ -854,10 +854,8 @@ private: { // Call the user function // Add the variables referenced as VarRef's so that lifetime analysis // doesn't rip up the variables on us - string stmt; - stmt += "(*__Vcb)("; args += ");\n"; - AstCStmt* newp = new AstCStmt(nodep->fileline(), stmt); + AstCStmt* newp = new AstCStmt(nodep->fileline(), "(*__Vcb)("); newp->addBodysp(argnodesp); VL_DANGLING(argnodesp); newp->addBodysp(new AstText(nodep->fileline(), args, true)); @@ -1131,7 +1129,7 @@ private: cfuncp->funcPublic(nodep->taskPublic()); cfuncp->dpiExport(nodep->dpiExport()); cfuncp->dpiImportWrapper(nodep->dpiImport()); - cfuncp->isStatic(!(nodep->dpiImport() || nodep->taskPublic() || nodep->classMethod())); + cfuncp->isStatic(nodep->dpiExport()); cfuncp->isVirtual(nodep->isVirtual()); cfuncp->pure(nodep->pure()); if (nodep->name() == "new") { @@ -1145,21 +1143,12 @@ private: // cfuncp->dpiImport // Not set in the wrapper - the called function has it set if (cfuncp->dpiExport()) cfuncp->cname(nodep->cname()); - bool needSyms = !nodep->dpiImport(); - if (needSyms) { - if (nodep->taskPublic()) { - // We need to get a pointer to all of our variables (may - // have eval'ed something else earlier) - cfuncp->addInitsp(new AstCStmt(nodep->fileline(), EmitCBaseVisitor::symClassVar() - + " = this->__VlSymsp;\n")); - } else { - // Need symbol table - cfuncp->argTypes(EmitCBaseVisitor::symClassVar()); - if (cfuncp->name() == "new") { - cfuncp->addInitsp( - new AstCStmt(nodep->fileline(), - VIdProtect::protect("_ctor_var_reset") + "(vlSymsp);\n")); - } + if (!nodep->dpiImport() && !nodep->taskPublic()) { + // Need symbol table + cfuncp->argTypes(EmitCBaseVisitor::symClassVar()); + if (cfuncp->name() == "new") { + const string stmt = VIdProtect::protect("_ctor_var_reset") + "(vlSymsp);\n"; + cfuncp->addInitsp(new AstCStmt(nodep->fileline(), stmt)); } } if (nodep->dpiContext()) { @@ -1169,11 +1158,6 @@ private: createInputVar(cfuncp, "__Vlineno", AstBasicDTypeKwd::INT); } - if (!nodep->dpiImport()) { - cfuncp->addInitsp( - new AstCStmt(nodep->fileline(), EmitCBaseVisitor::symTopAssign() + "\n")); - } - if (nodep->dpiExport()) { AstScopeName* snp = nodep->scopeNamep(); UASSERT_OBJ(snp, nodep, "Missing scoping context"); diff --git a/src/V3Trace.cpp b/src/V3Trace.cpp index 601c285e0..284add392 100644 --- a/src/V3Trace.cpp +++ b/src/V3Trace.cpp @@ -485,33 +485,43 @@ private: name += cvtToStr(funcNump++); FileLine* const flp = m_topScopep->fileline(); AstCFunc* const funcp = new AstCFunc(flp, name, m_topScopep); - const string argTypes("void* userp, " + v3Global.opt.traceClassBase() + "* tracep"); - funcp->argTypes(argTypes); funcp->funcType(type); + funcp->dontCombine(true); + const bool isTopFunc + = type == AstCFuncType::TRACE_FULL || type == AstCFuncType::TRACE_CHANGE; + if (isTopFunc) { + funcp->argTypes("void* voidSelf, " + v3Global.opt.traceClassBase() + "* tracep"); + funcp->isStatic(true); + funcp->addInitsp(new AstCStmt( + flp, prefixNameProtect(m_topModp) + "* const __restrict vlSelf = static_cast<" + + prefixNameProtect(m_topModp) + "*>(voidSelf);\n")); + funcp->addInitsp(new AstCStmt(flp, symClassAssign())); + + } else { + funcp->argTypes(v3Global.opt.traceClassBase() + "* tracep"); + funcp->isStatic(false); + } + funcp->isLoose(true); funcp->slow(type == AstCFuncType::TRACE_FULL || type == AstCFuncType::TRACE_FULL_SUB); - funcp->symProlog(true); - funcp->declPrivate(true); // Add it to top scope m_topScopep->addActivep(funcp); // Add call to new function if (callfromp) { AstCCall* callp = new AstCCall(funcp->fileline(), funcp); - callp->argTypes("userp, tracep"); + callp->argTypes("tracep"); callfromp->addStmtsp(callp); } // Register function if (regp) { - string registration = "tracep->add"; if (type == AstCFuncType::TRACE_FULL) { - registration += "Full"; + regp->addStmtsp(new AstText(flp, "tracep->addFullCb(", true)); } else if (type == AstCFuncType::TRACE_CHANGE) { - registration += "Chg"; + regp->addStmtsp(new AstText(flp, "tracep->addChgCb(", true)); } else { funcp->v3fatalSrc("Don't know how to register this type of function"); } - registration += "Cb(&" + protect(name) + ", __VlSymsp);\n"; - AstCStmt* const stmtp = new AstCStmt(flp, registration); - regp->addStmtsp(stmtp); + regp->addStmtsp(new AstAddrOfCFunc(flp, funcp)); + regp->addStmtsp(new AstText(flp, ", vlSelf);\n", true)); } // Add global activity check to TRACE_CHANGE functions if (type == AstCFuncType::TRACE_CHANGE) { @@ -666,17 +676,22 @@ private: void createCleanupFunction(AstCFunc* regFuncp) { FileLine* const fl = m_topScopep->fileline(); AstCFunc* const cleanupFuncp = new AstCFunc(fl, "traceCleanup", m_topScopep); - const string argTypes("void* userp, " + v3Global.opt.traceClassBase() + "* /*unused*/"); - cleanupFuncp->argTypes(argTypes); + cleanupFuncp->argTypes("void* voidSelf, " + v3Global.opt.traceClassBase() + + "* /*unused*/"); cleanupFuncp->funcType(AstCFuncType::TRACE_CLEANUP); cleanupFuncp->slow(false); - cleanupFuncp->symProlog(true); - cleanupFuncp->declPrivate(true); + cleanupFuncp->isStatic(true); + cleanupFuncp->isLoose(true); m_topScopep->addActivep(cleanupFuncp); + cleanupFuncp->addInitsp(new AstCStmt( + fl, prefixNameProtect(m_topModp) + "* const __restrict vlSelf = static_cast<" + + prefixNameProtect(m_topModp) + "*>(voidSelf);\n")); + cleanupFuncp->addInitsp(new AstCStmt(fl, symClassAssign())); // Register it - regFuncp->addStmtsp(new AstCStmt( - fl, string("tracep->addCleanupCb(&" + protect("traceCleanup") + ", __VlSymsp);\n"))); + regFuncp->addStmtsp(new AstText(fl, "tracep->addCleanupCb(", true)); + regFuncp->addStmtsp(new AstAddrOfCFunc(fl, cleanupFuncp)); + regFuncp->addStmtsp(new AstText(fl, ", vlSelf);\n", true)); // Clear global activity flag cleanupFuncp->addStmtsp( @@ -726,7 +741,7 @@ private: regFuncp->funcType(AstCFuncType::TRACE_REGISTER); regFuncp->slow(true); regFuncp->isStatic(false); - regFuncp->declPrivate(true); + regFuncp->isLoose(true); m_topScopep->addActivep(regFuncp); const int parallelism = 1; // Note: will bump this later, code below works for any value diff --git a/src/V3TraceDecl.cpp b/src/V3TraceDecl.cpp index ac7f9a7f0..05bc8f056 100644 --- a/src/V3TraceDecl.cpp +++ b/src/V3TraceDecl.cpp @@ -72,13 +72,13 @@ private: AstCFunc* newCFunc(AstCFuncType type, const string& name) { FileLine* const flp = m_topScopep->fileline(); AstCFunc* const funcp = new AstCFunc(flp, name, m_topScopep); - string argTypes("void* userp, " + v3Global.opt.traceClassBase() + "* tracep"); + string argTypes = v3Global.opt.traceClassBase() + "* tracep"; if (m_interface) argTypes += ", int scopet, const char* scopep"; funcp->argTypes(argTypes); funcp->funcType(type); - funcp->symProlog(true); + funcp->isStatic(false); + funcp->isLoose(true); funcp->slow(true); - funcp->declPrivate(true); m_topScopep->addActivep(funcp); UINFO(5, " Newfunc " << funcp << endl); return funcp; @@ -86,10 +86,10 @@ private: void callCFuncSub(AstCFunc* basep, AstCFunc* funcp, AstIntfRef* irp) { AstCCall* callp = new AstCCall(funcp->fileline(), funcp); if (irp) { - callp->argTypes("userp, tracep, VLT_TRACE_SCOPE_INTERFACE"); + callp->argTypes("tracep, VLT_TRACE_SCOPE_INTERFACE"); callp->addArgsp(irp->unlinkFrBack()); } else { - callp->argTypes("userp, tracep"); + callp->argTypes("tracep"); } basep->addStmtsp(callp); } diff --git a/test_regress/t/t_c_this.pl b/test_regress/t/t_c_this.pl new file mode 100755 index 000000000..4260beacc --- /dev/null +++ b/test_regress/t/t_c_this.pl @@ -0,0 +1,27 @@ +#!/usr/bin/env perl +if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; } +# DESCRIPTION: Verilator: Verilog Test driver/expect definition +# +# Copyright 2021 by Wilson Snyder. This program is free software; you +# can redistribute it and/or modify it under the terms of either the GNU +# Lesser General Public License Version 3 or the Perl Artistic License +# Version 2.0. +# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0 + +scenarios(vlt => 1); + +compile(); + +if ($Self->{vlt_all}) { + # The word 'this' (but only the whole word 'this' should have been replaced + # in the contents. + my $file = "$Self->{obj_dir}/$Self->{VM_PREFIX}.cpp"; + my $text = file_contents($file); + error("$file has 'this->clk'") if ($text =~ m/\bthis->clk\b/); + error("$file does not have 'xthis'") if ($text !~ m/\bxthis\b/); + error("$file does not have 'thisx'") if ($text !~ m/\bthisx\b/); + error("$file does not have 'xthisx'") if ($text !~ m/\bxthisx\b/); +} + +ok(1); +1; diff --git a/test_regress/t/t_c_this.v b/test_regress/t/t_c_this.v new file mode 100644 index 000000000..95cd63eac --- /dev/null +++ b/test_regress/t/t_c_this.v @@ -0,0 +1,15 @@ +// DESCRIPTION: Verilator: Verilog Test module +// +// This file ONLY is placed under the Creative Commons Public Domain, for +// any use, without warranty, 2021 by Wilson Snyder. +// SPDX-License-Identifier: CC0-1.0 + +module t (clk); + input clk; + always @(posedge clk) begin + $c("const CData xthis = this->clk;"); + $c("const CData thisx = xthis;"); + $c("const CData xthisx = thisx;"); + $c("this->clk = xthisx;"); + end +endmodule diff --git a/test_regress/t/t_class_extends_this_protect_ids.pl b/test_regress/t/t_class_extends_this_protect_ids.pl new file mode 100755 index 000000000..47bee151d --- /dev/null +++ b/test_regress/t/t_class_extends_this_protect_ids.pl @@ -0,0 +1,33 @@ +#!/usr/bin/env perl +if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; } +# DESCRIPTION: Verilator: Verilog Test driver/expect definition +# +# Copyright 2020 by Wilson Snyder. This program is free software; you +# can redistribute it and/or modify it under the terms of either the GNU +# Lesser General Public License Version 3 or the Perl Artistic License +# Version 2.0. +# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0 + +scenarios(vlt_all => 1); + +# This test makes randomly named .cpp/.h files, which tend to collect, so remove them first +foreach my $filename (glob ("$Self->{obj_dir}/*_PS*.cpp" + ." $Self->{obj_dir}/*_PS*.h" + ." $Self->{obj_dir}/*.d" )) { + print "rm $filename\n" if $Self->{verbose}; + unlink $filename; +} + +top_filename("t/t_class_extends_this.v"); + +compile( + verilator_flags2 => ["--protect-ids", + "--protect-key SECRET_KEY"] + ); + +execute( + check_finished => 1, + ); + +ok(1); +1; diff --git a/test_regress/t/t_cover_line.out b/test_regress/t/t_cover_line.out index 579327102..da95bcf79 100644 --- a/test_regress/t/t_cover_line.out +++ b/test_regress/t/t_cover_line.out @@ -125,7 +125,7 @@ end %000002 else if (cyc==5) begin `ifdef VERILATOR -%000001 $c("call_task();"); +%000001 $c("this->call_task();"); `else call_task(); `endif diff --git a/test_regress/t/t_cover_line.v b/test_regress/t/t_cover_line.v index 580e31061..6b03de5e7 100644 --- a/test_regress/t/t_cover_line.v +++ b/test_regress/t/t_cover_line.v @@ -104,7 +104,7 @@ module t (/*AUTOARG*/ end else if (cyc==5) begin `ifdef VERILATOR - $c("call_task();"); + $c("this->call_task();"); `else call_task(); `endif diff --git a/test_regress/t/t_debug_emitv.out b/test_regress/t/t_debug_emitv.out index aeee81350..f42904c37 100644 --- a/test_regress/t/t_debug_emitv.out +++ b/test_regress/t/t_debug_emitv.out @@ -47,8 +47,6 @@ module Vt_debug_emitv; end ???? // CFUNC '_final_TOP' - $_CSTMT(Vt_debug_emitv* const __restrict vlTOPp VL_ATTR_UNUSED = vlSymsp->TOPp; - ); $display("stmt"); always @(posedge clk)@(negedge clk) begin $display("posedge clk"); @@ -238,14 +236,10 @@ class Vt_debug_emitv___024unit__03a__03aCls; signed int [31:0] member; ???? // CFUNC '__VnoInFunc_method' -$_CSTMT(Vt_debug_emitv* const __restrict vlTOPp VL_ATTR_UNUSED = vlSymsp->TOPp; -); ???? // CFUNC 'new' $_CSTMT(_ctor_var_reset(vlSymsp); ); -$_CSTMT(Vt_debug_emitv* const __restrict vlTOPp VL_ATTR_UNUSED = vlSymsp->TOPp; -); $unit::Cls.member = 32'sh1; endclass /*class*/package Vt_debug_emitv___024unit__03a__03aCls__Vclpkg; diff --git a/test_regress/t/t_dpi_var.v b/test_regress/t/t_dpi_var.v index 1ad0c1f3d..a5fc89111 100644 --- a/test_regress/t/t_dpi_var.v +++ b/test_regress/t/t_dpi_var.v @@ -88,7 +88,7 @@ module sub (/*AUTOARG*/ initial begin // Test the naming - $c("mon_class_name(name());"); + $c("mon_class_name(this->name());"); mon_scope_name("%m"); // Scheme A - pass pointer directly $c("mon_register_a(\"in\",&",in,",false);"); diff --git a/test_regress/t/t_extend.v b/test_regress/t/t_extend.v index 689b7dd69..1ed24e25d 100644 --- a/test_regress/t/t_extend.v +++ b/test_regress/t/t_extend.v @@ -34,7 +34,7 @@ module t (/*AUTOARG*/ `ifdef VERILATOR $c("VL_PRINTF(\"Calling $c, calling $c...\\n\");"); $c("VL_PRINTF(\"Cyc=%d\\n\",",cyc,");"); - c_worked <= $c("my_function()"); + c_worked <= $c("this->my_function()"); c_wider <= $c9("0x10"); `else c_worked <= 1'b1; diff --git a/test_regress/t/t_extend_class.v b/test_regress/t/t_extend_class.v index 9eda467df..fb6d400c1 100644 --- a/test_regress/t/t_extend_class.v +++ b/test_regress/t/t_extend_class.v @@ -43,7 +43,7 @@ module t_extend_class_v (/*AUTOARG*/ always @* begin // When "in" changes, call my method - out = $c("m_myobjp->my_math(",in,")"); + out = $c("this->m_myobjp->my_math(",in,")"); end `systemc_header diff --git a/test_regress/t/t_flag_csplit.pl b/test_regress/t/t_flag_csplit.pl index e31f96ab9..0f6336f60 100755 --- a/test_regress/t/t_flag_csplit.pl +++ b/test_regress/t/t_flag_csplit.pl @@ -96,6 +96,7 @@ sub check_cpp { && $func !~ /::trace$/ && $func !~ /::traceInit$/ && $func !~ /::traceFull$/ + && $func !~ /::final$/ ) { push @funcs, $func; } diff --git a/test_regress/t/t_flag_csplit_eval.pl b/test_regress/t/t_flag_csplit_eval.pl index c2012b9f4..c7d8da543 100755 --- a/test_regress/t/t_flag_csplit_eval.pl +++ b/test_regress/t/t_flag_csplit_eval.pl @@ -15,7 +15,7 @@ sub check_evals { local $/; undef $/; my $wholefile = <$fh>; - if ($wholefile =~ /::_eval[0-9]+/) { + if ($wholefile =~ /___eval[0-9]+/) { ++$got; } } diff --git a/test_regress/t/t_func_public.v b/test_regress/t/t_func_public.v index c0d1e1e47..d97a93b34 100644 --- a/test_regress/t/t_func_public.v +++ b/test_regress/t/t_func_public.v @@ -16,7 +16,7 @@ module t (clk); cyc <= cyc + 1; if (cyc==1) begin `ifdef verilator - $c("publicTop();"); + $c("this->publicTop();"); `endif end if (cyc==20) begin @@ -84,32 +84,32 @@ module tpub ( // `ifdef VERILATOR_PUBLIC_TASKS if (cyc==11) begin - $c("publicNoArgs();"); - $c("publicSetBool(true);"); - $c("publicSetLong(0x11bca);"); - $c("publicSetQuad(0x66655554444ULL);"); - $c("publicSetFlop(0x321);"); + $c("this->publicNoArgs();"); + $c("this->publicSetBool(true);"); + $c("this->publicSetLong(0x11bca);"); + $c("this->publicSetQuad(0x66655554444ULL);"); + $c("this->publicSetFlop(0x321);"); //Unsupported: $c("WData w[3] = {0x12, 0x5678_9123, 0x1245_2352}; publicSetWide(w);"); end if (cyc==12) begin - $c("got_bool = publicGetSetBool(true);"); - $c("got_long = publicGetSetLong(0x11bca);"); - $c("got_quad = publicGetSetQuad(0xaaaabbbbccccULL);"); + $c("this->got_bool = this->publicGetSetBool(true);"); + $c("this->got_long = this->publicGetSetLong(0x11bca);"); + $c("this->got_quad = this->publicGetSetQuad(0xaaaabbbbccccULL);"); end if (cyc==13) begin - $c("{ bool gb; publicGetBool(gb); got_bool=gb; }"); + $c("{ bool gb; this->publicGetBool(gb); this->got_bool=gb; }"); if (1'b1 != got_bool) $stop; - $c("publicGetLong(got_long);"); + $c("this->publicGetLong(this->got_long);"); if (24'h11bca != got_long) $stop; - $c("{ vluint64_t qq; publicGetQuad(qq); got_quad=qq; }"); + $c("{ vluint64_t qq; this->publicGetQuad(qq); this->got_quad=qq; }"); if (60'haaaa_bbbb_cccc != got_quad) $stop; - $c("{ WData gw[3]; publicGetWide(gw); VL_ASSIGN_W(72,got_wide,gw); }"); + $c("{ WData gw[3]; this->publicGetWide(gw); VL_ASSIGN_W(72,this->got_wide,gw); }"); if (72'hac_abca_aaaa_bbbb_1234 != got_wide) $stop; //Below doesn't work, because we're calling it inside the loop that sets var_flop // if (12'h321 != var_flop) $stop; end if (cyc==14) begin - if ($c32("publicInstNum()") != i) $stop; + if ($c32("this->publicInstNum()") != i) $stop; end `endif end diff --git a/test_regress/t/t_func_rand.v b/test_regress/t/t_func_rand.v index 8839b156b..a60f1fbd7 100644 --- a/test_regress/t/t_func_rand.v +++ b/test_regress/t/t_func_rand.v @@ -20,7 +20,7 @@ module t (clk, Rand); input [7:0] idx; begin `ifdef verilator - QxRand32 = $c ("QxRandTbl(",tbl,",",idx,")"); + QxRand32 = $c("this->QxRandTbl(",tbl,",",idx,")"); `else QxRand32 = 32'hfeed0fad; `endif diff --git a/test_regress/t/t_inst_tree_inl0_pub1.pl b/test_regress/t/t_inst_tree_inl0_pub1.pl index 182cfc40e..3bf53b1f1 100755 --- a/test_regress/t/t_inst_tree_inl0_pub1.pl +++ b/test_regress/t/t_inst_tree_inl0_pub1.pl @@ -26,9 +26,7 @@ sub checkRelativeRefs { my $file = "$Self->{obj_dir}/V$Self->{name}_${mod}.cpp"; my $text = file_contents($file); - # Remove "this->__VlSymsp" which is noise - $text =~ s/this->__VlSymsp//g; - if ($text =~ m/this->/) { + if ($text =~ m/this->/ || $text =~ m/vlSelf->/) { $found_relative = 1; } @@ -45,12 +43,9 @@ if ($Self->{vlt_all}) { file_grep($Self->{stats}, qr/Optimizations, Combined CFuncs\s+(\d+)/i, ($Self->{vltmt} ? 84 : 52)); - # Expect absolute refs in CFuncs for t (top module) and l1 (because it - # has only one instance) - checkRelativeRefs("t", 0); - checkRelativeRefs("l1", 0); - - # Others should get relative references + # Everything should use relative references + checkRelativeRefs("t", 1); + checkRelativeRefs("l1", 1); checkRelativeRefs("l2", 1); checkRelativeRefs("l3", 1); checkRelativeRefs("l4", 1); diff --git a/test_regress/t/t_inst_tree_inl0_pub1_norelcfuncs.pl b/test_regress/t/t_inst_tree_inl0_pub1_norelcfuncs.pl index 0ec805e0e..27c1c82b3 100755 --- a/test_regress/t/t_inst_tree_inl0_pub1_norelcfuncs.pl +++ b/test_regress/t/t_inst_tree_inl0_pub1_norelcfuncs.pl @@ -24,13 +24,18 @@ if ($Self->{vlt_all}) { file_grep($Self->{stats}, qr/Optimizations, Combined CFuncs\s+(\d+)/i, ($Self->{vltmt} ? 0 : 31)); - # Should not find any 'this->' except some 'this->__VlSymsp' + # Should not find any 'this->' or 'vlSelf->' except some specific cases my @files = `ls $Self->{obj_dir}/*.cpp`; foreach my $file (@files) { chomp $file; my $text = file_contents($file); - $text =~ s/this->__VlSymsp//g; - if ($text =~ m/this->/) { + $text =~ s/(vlSelf|this)->vlSymsp//g; + $text =~ s/vlSelf->.* = VL_RAND_RESET.*;//g; + $text =~ s/vlSelf->__Vm_even_cycle//g; + $text =~ s/vlSelf->__Vm_even_cycle//g; + $text =~ s/vlSelf->__Vm_mt_(final|\d+)//g; + $text =~ s/vlSelf->__Vm_threadPoolp//g; + if ($text =~ m/this->/ || $text =~ m/vlSelf->/) { error("$file has unexpected this-> refs when --norelative-cfuncs"); } } diff --git a/test_regress/t/t_param_public.v b/test_regress/t/t_param_public.v index b896a596a..6c7bcdf14 100644 --- a/test_regress/t/t_param_public.v +++ b/test_regress/t/t_param_public.v @@ -27,7 +27,7 @@ module a; parameter ONE /*verilator public*/ = 22; initial if (ONE != 1) $stop; `ifdef VERILATOR - initial if ($c32("ONE") != 1) $stop; + initial if ($c32("this->ONE") != 1) $stop; `endif endmodule @@ -36,7 +36,7 @@ module b #( ); initial if (TWO != 2) $stop; `ifdef VERILATOR - initial if ($c32("TWO") != 2) $stop; + initial if ($c32("this->TWO") != 2) $stop; `endif endmodule diff --git a/test_regress/t/t_protect_ids_key.out b/test_regress/t/t_protect_ids_key.out index cfb58b841..0eeb97a9f 100644 --- a/test_regress/t/t_protect_ids_key.out +++ b/test_regress/t/t_protect_ids_key.out @@ -29,6 +29,7 @@ + @@ -38,6 +39,6 @@ + - diff --git a/test_regress/t/t_unopt_converge_initial_run_bad.out b/test_regress/t/t_unopt_converge_initial_run_bad.out index 56c0369a6..5445309d7 100644 --- a/test_regress/t/t_unopt_converge_initial_run_bad.out +++ b/test_regress/t/t_unopt_converge_initial_run_bad.out @@ -1,5 +1,5 @@ -V{t#,#}- Verilated::debug is on. Message prefix indicates {,}. --V{t#,#}+ Vt_unopt_converge_initial_run_bad::_change_request +-V{t#,#}+ Vt_unopt_converge_initial_run_bad___change_request -V{t#,#} CHANGE: t/t_unopt_converge_initial.v:19: x %Error: t/t_unopt_converge_initial.v:7: Verilated model didn't DC converge Aborting... diff --git a/test_regress/t/t_unopt_converge_print_bad.out b/test_regress/t/t_unopt_converge_print_bad.out index 328c68219..0b271c309 100644 --- a/test_regress/t/t_unopt_converge_print_bad.out +++ b/test_regress/t/t_unopt_converge_print_bad.out @@ -1,5 +1,5 @@ -V{t#,#}- Verilated::debug is on. Message prefix indicates {,}. --V{t#,#}+ Vt_unopt_converge_print_bad::_change_request +-V{t#,#}+ Vt_unopt_converge_print_bad___change_request -V{t#,#} CHANGE: t/t_unopt_converge.v:19: x %Error: t/t_unopt_converge.v:7: Verilated model didn't converge Aborting... diff --git a/test_regress/t/t_unopt_converge_run_bad.out b/test_regress/t/t_unopt_converge_run_bad.out index 6f057f8d1..362f65931 100644 --- a/test_regress/t/t_unopt_converge_run_bad.out +++ b/test_regress/t/t_unopt_converge_run_bad.out @@ -1,5 +1,5 @@ -V{t#,#}- Verilated::debug is on. Message prefix indicates {,}. --V{t#,#}+ Vt_unopt_converge_run_bad::_change_request +-V{t#,#}+ Vt_unopt_converge_run_bad___change_request -V{t#,#} CHANGE: t/t_unopt_converge.v:19: x %Error: t/t_unopt_converge.v:7: Verilated model didn't converge Aborting... diff --git a/test_regress/t/t_verilated_debug.out b/test_regress/t/t_verilated_debug.out index 4dd67d22f..0768fa5a6 100644 --- a/test_regress/t/t_verilated_debug.out +++ b/test_regress/t/t_verilated_debug.out @@ -1,29 +1,30 @@ -V{t#,#}- Verilated::debug is on. Message prefix indicates {,}. --V{t#,#}+ Vt_verilated_debug::_ctor_var_reset +-V{t#,#}+ Vt_verilated_debug___ctor_var_reset internalsDump: Version: Verilator ### Argv: obj_vlt/t_verilated_debug/Vt_verilated_debug scopesDump: --V{t#,#}+++++TOP Evaluate Vt_verilated_debug::eval --V{t#,#}+ Vt_verilated_debug::_eval_debug_assertions --V{t#,#}+ Vt_verilated_debug::_eval_initial --V{t#,#}+ Vt_verilated_debug::_initial__TOP__1 +-V{t#,#}+++++TOP Evaluate Vt_verilated_debug::eval_step +-V{t#,#}+ Vt_verilated_debug___eval_debug_assertions +-V{t#,#}+ Vt_verilated_debug___eval_initial +-V{t#,#}+ Vt_verilated_debug___initial__TOP__1 Data: w96: 000000aa 000000bb 000000cc --V{t#,#}+ Vt_verilated_debug::_eval_settle --V{t#,#}+ Vt_verilated_debug::_eval --V{t#,#}+ Vt_verilated_debug::_change_request --V{t#,#}+ Vt_verilated_debug::_change_request_1 +-V{t#,#}+ Initial loop +-V{t#,#}+ Vt_verilated_debug___eval_settle +-V{t#,#}+ Vt_verilated_debug___eval +-V{t#,#}+ Vt_verilated_debug___change_request +-V{t#,#}+ Vt_verilated_debug___change_request_1 -V{t#,#}+ Clock loop --V{t#,#}+ Vt_verilated_debug::_eval --V{t#,#}+ Vt_verilated_debug::_change_request --V{t#,#}+ Vt_verilated_debug::_change_request_1 --V{t#,#}+++++TOP Evaluate Vt_verilated_debug::eval --V{t#,#}+ Vt_verilated_debug::_eval_debug_assertions +-V{t#,#}+ Vt_verilated_debug___eval +-V{t#,#}+ Vt_verilated_debug___change_request +-V{t#,#}+ Vt_verilated_debug___change_request_1 +-V{t#,#}+++++TOP Evaluate Vt_verilated_debug::eval_step +-V{t#,#}+ Vt_verilated_debug___eval_debug_assertions -V{t#,#}+ Clock loop --V{t#,#}+ Vt_verilated_debug::_eval --V{t#,#}+ Vt_verilated_debug::_sequent__TOP__2 +-V{t#,#}+ Vt_verilated_debug___eval +-V{t#,#}+ Vt_verilated_debug___sequent__TOP__2 *-* All Finished *-* --V{t#,#}+ Vt_verilated_debug::_change_request --V{t#,#}+ Vt_verilated_debug::_change_request_1 --V{t#,#}+ Vt_verilated_debug::final +-V{t#,#}+ Vt_verilated_debug___change_request +-V{t#,#}+ Vt_verilated_debug___change_request_1 +-V{t#,#}+ Vt_verilated_debug___final