From 5d8da6b4ac5521150900f2a108703a600b74ab98 Mon Sep 17 00:00:00 2001 From: Wilson Snyder Date: Sat, 13 Apr 2024 08:16:59 -0400 Subject: [PATCH] Fix width extension on delays (#5045). --- Changes | 1 + include/verilated_timing.h | 8 +++---- src/V3Timing.cpp | 2 +- src/V3Width.cpp | 22 ++++++++++++++++++- test_regress/t/t_delay_compare.pl | 22 +++++++++++++++++++ test_regress/t/t_delay_compare.v | 35 +++++++++++++++++++++++++++++++ 6 files changed, 84 insertions(+), 6 deletions(-) create mode 100755 test_regress/t/t_delay_compare.pl create mode 100644 test_regress/t/t_delay_compare.v diff --git a/Changes b/Changes index c9ffb3fd6..a05910d11 100644 --- a/Changes +++ b/Changes @@ -16,6 +16,7 @@ Verilator 5.025 devel * Support __en/__out signals on top level inout ports (#4812) (#4856). [Paul Wright] * Fix consecutive zero-delays (#5038). [Krzysztof Bieganski, Antmicro Ltd.] * Fix `$system` with string argument (#5042). +* Fix width extension on delays (#5045). Verilator 5.024 2024-04-05 diff --git a/include/verilated_timing.h b/include/verilated_timing.h index 36cd315ff..10112dcb3 100644 --- a/include/verilated_timing.h +++ b/include/verilated_timing.h @@ -196,9 +196,9 @@ public: VlProcessRef process; // Data of the suspended process, null if not needed VlDelayedCoroutineQueue& queue; std::vector& queueZeroDelay; - uint64_t delay; - VlDelayPhase phase; - VlFileLineDebug fileline; + const uint64_t delay; + const VlDelayPhase phase; + const VlFileLineDebug fileline; bool await_ready() const { return false; } // Always suspend void await_suspend(std::coroutine_handle<> coro) { @@ -211,7 +211,7 @@ public: void await_resume() const {} }; - VlDelayPhase phase = (delay == 0) ? VlDelayPhase::INACTIVE : VlDelayPhase::ACTIVE; + const VlDelayPhase phase = (delay == 0) ? VlDelayPhase::INACTIVE : VlDelayPhase::ACTIVE; #ifdef VL_DEBUG if (phase == VlDelayPhase::INACTIVE) { VL_WARN_MT(filename, lineno, VL_UNKNOWN, diff --git a/src/V3Timing.cpp b/src/V3Timing.cpp index 302dd3263..e6a4504c3 100644 --- a/src/V3Timing.cpp +++ b/src/V3Timing.cpp @@ -898,7 +898,7 @@ class TimingControlVisitor final : public VNVisitor { if (!constp || !constp->isZero()) { // Scale the delay const double timescaleFactor = calculateTimescaleFactor(nodep, nodep->timeunit()); - if (valuep->dtypep()->isDouble()) { + if (valuep->dtypep()->skipRefp()->isDouble()) { valuep = new AstRToIRoundS{ flp, new AstMulD{flp, valuep, new AstConst{flp, AstConst::RealDouble{}, timescaleFactor}}}; diff --git a/src/V3Width.cpp b/src/V3Width.cpp index cf6b79db2..d18979c54 100644 --- a/src/V3Width.cpp +++ b/src/V3Width.cpp @@ -650,7 +650,7 @@ class WidthVisitor final : public VNVisitor { } if (nodep->fileline()->timingOn()) { if (v3Global.opt.timing().isSetTrue()) { - userIterate(nodep->lhsp(), WidthVP{nullptr, BOTH}.p()); + iterateCheckDelay(nodep, "delay", nodep->lhsp(), BOTH); iterateAndNextNull(nodep->stmtsp()); return; } else if (v3Global.opt.timing().isSetFalse()) { @@ -6634,6 +6634,26 @@ class WidthVisitor final : public VNVisitor { } (void)underp; // cppcheck } + void iterateCheckDelay(AstNode* nodep, const char* side, AstNode* underp, Stage stage) { + // Coerce child to 64-bit delay if not already. Child is self-determined + // underp may change as a result of replacement + if (stage & PRELIM) { + underp = userIterateSubtreeReturnEdits(underp, WidthVP{SELF, PRELIM}.p()); + } + if (stage & FINAL) { + AstNodeDType* expDTypep; + if (underp->dtypep()->skipRefp()->isDouble()) { // V3Timing will later convert double + expDTypep = nodep->findDoubleDType(); + } else { + FileLine* const newFl = new FileLine{underp->fileline()}; + newFl->warnOff(V3ErrorCode::WIDTHEXPAND, true); + underp->fileline(newFl); + expDTypep = nodep->findLogicDType(64, 64, VSigning::UNSIGNED); + } + underp = iterateCheck(nodep, side, underp, SELF, FINAL, expDTypep, EXTEND_EXP, false); + } + (void)underp; // cppcheck + } void iterateCheckReal(AstNode* nodep, const char* side, AstNode* underp, Stage stage) { // Coerce child to real if not already. Child is self-determined // e.g. nodep=ADDD, underp=ADD in ADDD(ADD(a,b), real-CONST) diff --git a/test_regress/t/t_delay_compare.pl b/test_regress/t/t_delay_compare.pl new file mode 100755 index 000000000..c8f2eacfe --- /dev/null +++ b/test_regress/t/t_delay_compare.pl @@ -0,0 +1,22 @@ +#!/usr/bin/env perl +if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; } +# DESCRIPTION: Verilator: Verilog Test driver/expect definition +# +# Copyright 2023 by Wilson Snyder. This program is free software; you +# can redistribute it and/or modify it under the terms of either the GNU +# Lesser General Public License Version 3 or the Perl Artistic License +# Version 2.0. +# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0 + +scenarios(vlt => 1); + +compile( + verilator_flags2 => ["--exe --main --timing"], + ); + +execute( + check_finished => 1, + ) if !$Self->{vlt}; + +ok(1); +1; diff --git a/test_regress/t/t_delay_compare.v b/test_regress/t/t_delay_compare.v new file mode 100644 index 000000000..b42a887d9 --- /dev/null +++ b/test_regress/t/t_delay_compare.v @@ -0,0 +1,35 @@ +// DESCRIPTION: Verilator: Verilog Test module +// +// This file ONLY is placed under the Creative Commons Public Domain, for +// any use, without warranty, 2023 by Wilson Snyder. +// SPDX-License-Identifier: CC0-1.0 + +module t; + int tim1; + int tim2; + real rtim1; + real rtim2; + + initial begin + tim1 = 2; + tim2 = 3; + // verilator lint_off WIDTHEXPAND + # (tim1 < tim2); + // verilator lint_on WIDTHEXPAND + if ($time != 1) $stop; + + // verilator lint_off WIDTHEXPAND + # (tim1); + // verilator lint_on WIDTHEXPAND + if ($time != 1 + 2) $stop; + + rtim1 = 2; + rtim2 = 2.6; + # (rtim1 + rtim2); // Rounds up + if ($time != 1 + 2 + 5) $stop; + + $write("*-* All Finished *-*\n"); + $finish; + end + +endmodule