Tests: Rename some tests that should be _bad.

This commit is contained in:
Wilson Snyder 2022-12-02 19:17:29 -05:00
parent f27cf4c804
commit 0809c17ce1
27 changed files with 128 additions and 133 deletions

View File

@ -12,7 +12,6 @@ scenarios(linter => 1);
lint(
verilator_flags2 => ["--lint-only -Wwarn-CASEINCOMPLETE"],
fails => 0,
);
ok(1);

View File

@ -14,7 +14,6 @@ top_filename("t/t_castdyn.v");
lint(
verilator_flags2 => ['-bbox-unsup'],
fails => 0,
);
ok(1);

View File

@ -14,7 +14,6 @@ top_filename("t/t_flag_wfatal.v");
lint(
verilator_flags2 => ["--lint-only -Wno-fatal"],
fails => 0,
expect_filename => $Self->{golden_filename},
);

View File

@ -11,7 +11,6 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di
scenarios(simulator => 1);
compile(
fails => 0,
verilator_make_gmake => 0,
make_top_shell => 0,
make_main => 0,

View File

@ -1,5 +0,0 @@
%Error: t/t_lint_edge_real.v:16:22: Edge event control not legal on real type (IEEE 1800-2017 6.12.1)
: ... In instance t
16 | always @ (posedge rbad) $stop;
| ^~~~
%Error: Exiting due to

View File

@ -0,0 +1,5 @@
%Error: t/t_lint_edge_real_bad.v:16:22: Edge event control not legal on real type (IEEE 1800-2017 6.12.1)
: ... In instance t
16 | always @ (posedge rbad) $stop;
| ^~~~
%Error: Exiting due to

View File

@ -1,11 +1,11 @@
%Warning-INFINITELOOP: t/t_lint_infinite.v:10:7: Infinite loop (condition always true)
: ... In instance t
%Warning-INFINITELOOP: t/t_lint_infinite_bad.v:10:7: Infinite loop (condition always true)
: ... In instance t
10 | forever begin end
| ^~~~~~~
... For warning description see https://verilator.org/warn/INFINITELOOP?v=latest
... Use "/* verilator lint_off INFINITELOOP */" and lint_on around source to disable this message.
%Warning-INFINITELOOP: t/t_lint_infinite.v:12:7: Infinite loop (condition always true)
: ... In instance t
%Warning-INFINITELOOP: t/t_lint_infinite_bad.v:12:7: Infinite loop (condition always true)
: ... In instance t
12 | for (reg [31:0] i=0; i>=0; i=i+1) begin end
| ^~~
%Error: Exiting due to

View File

@ -1,108 +0,0 @@
%Warning-NULLPORT: t/t_lint_nullport.v:23:13: Null port on module (perhaps extraneous comma)
23 | module t5(a,);
| ^
... For warning description see https://verilator.org/warn/NULLPORT?v=latest
... Use "/* verilator lint_off NULLPORT */" and lint_on around source to disable this message.
%Warning-NULLPORT: t/t_lint_nullport.v:27:13: Null port on module (perhaps extraneous comma)
27 | module t6(a,,);
| ^
%Warning-NULLPORT: t/t_lint_nullport.v:27:14: Null port on module (perhaps extraneous comma)
27 | module t6(a,,);
| ^
%Warning-NULLPORT: t/t_lint_nullport.v:31:15: Null port on module (perhaps extraneous comma)
31 | module t7(a,b,);
| ^
%Warning-NULLPORT: t/t_lint_nullport.v:35:15: Null port on module (perhaps extraneous comma)
35 | module t8(a,b,,);
| ^
%Warning-NULLPORT: t/t_lint_nullport.v:35:16: Null port on module (perhaps extraneous comma)
35 | module t8(a,b,,);
| ^
%Warning-NULLPORT: t/t_lint_nullport.v:39:13: Null port on module (perhaps extraneous comma)
39 | module t9(a,,b);
| ^
%Warning-NULLPORT: t/t_lint_nullport.v:43:14: Null port on module (perhaps extraneous comma)
43 | module t10(a,,b,);
| ^
%Warning-NULLPORT: t/t_lint_nullport.v:43:17: Null port on module (perhaps extraneous comma)
43 | module t10(a,,b,);
| ^
%Warning-NULLPORT: t/t_lint_nullport.v:47:14: Null port on module (perhaps extraneous comma)
47 | module t11(a,,b,,);
| ^
%Warning-NULLPORT: t/t_lint_nullport.v:47:17: Null port on module (perhaps extraneous comma)
47 | module t11(a,,b,,);
| ^
%Warning-NULLPORT: t/t_lint_nullport.v:47:18: Null port on module (perhaps extraneous comma)
47 | module t11(a,,b,,);
| ^
%Warning-NULLPORT: t/t_lint_nullport.v:51:12: Null port on module (perhaps extraneous comma)
51 | module t12(,a,,b);
| ^
%Warning-NULLPORT: t/t_lint_nullport.v:51:15: Null port on module (perhaps extraneous comma)
51 | module t12(,a,,b);
| ^
%Warning-NULLPORT: t/t_lint_nullport.v:55:12: Null port on module (perhaps extraneous comma)
55 | module t13(,a,,b,);
| ^
%Warning-NULLPORT: t/t_lint_nullport.v:55:15: Null port on module (perhaps extraneous comma)
55 | module t13(,a,,b,);
| ^
%Warning-NULLPORT: t/t_lint_nullport.v:55:18: Null port on module (perhaps extraneous comma)
55 | module t13(,a,,b,);
| ^
%Warning-NULLPORT: t/t_lint_nullport.v:59:12: Null port on module (perhaps extraneous comma)
59 | module t14(,a,,b,,);
| ^
%Warning-NULLPORT: t/t_lint_nullport.v:59:15: Null port on module (perhaps extraneous comma)
59 | module t14(,a,,b,,);
| ^
%Warning-NULLPORT: t/t_lint_nullport.v:59:18: Null port on module (perhaps extraneous comma)
59 | module t14(,a,,b,,);
| ^
%Warning-NULLPORT: t/t_lint_nullport.v:59:19: Null port on module (perhaps extraneous comma)
59 | module t14(,a,,b,,);
| ^
%Warning-NULLPORT: t/t_lint_nullport.v:63:12: Null port on module (perhaps extraneous comma)
63 | module t15(,,a,,b);
| ^
%Warning-NULLPORT: t/t_lint_nullport.v:63:13: Null port on module (perhaps extraneous comma)
63 | module t15(,,a,,b);
| ^
%Warning-NULLPORT: t/t_lint_nullport.v:63:16: Null port on module (perhaps extraneous comma)
63 | module t15(,,a,,b);
| ^
%Warning-NULLPORT: t/t_lint_nullport.v:67:12: Null port on module (perhaps extraneous comma)
67 | module t16(,,a,,b,);
| ^
%Warning-NULLPORT: t/t_lint_nullport.v:67:13: Null port on module (perhaps extraneous comma)
67 | module t16(,,a,,b,);
| ^
%Warning-NULLPORT: t/t_lint_nullport.v:67:16: Null port on module (perhaps extraneous comma)
67 | module t16(,,a,,b,);
| ^
%Warning-NULLPORT: t/t_lint_nullport.v:67:19: Null port on module (perhaps extraneous comma)
67 | module t16(,,a,,b,);
| ^
%Warning-NULLPORT: t/t_lint_nullport.v:71:12: Null port on module (perhaps extraneous comma)
71 | module t17(,,a,,b,,);
| ^
%Warning-NULLPORT: t/t_lint_nullport.v:71:13: Null port on module (perhaps extraneous comma)
71 | module t17(,,a,,b,,);
| ^
%Warning-NULLPORT: t/t_lint_nullport.v:71:16: Null port on module (perhaps extraneous comma)
71 | module t17(,,a,,b,,);
| ^
%Warning-NULLPORT: t/t_lint_nullport.v:71:19: Null port on module (perhaps extraneous comma)
71 | module t17(,,a,,b,,);
| ^
%Warning-NULLPORT: t/t_lint_nullport.v:71:20: Null port on module (perhaps extraneous comma)
71 | module t17(,,a,,b,,);
| ^
%Warning-NULLPORT: t/t_lint_nullport.v:75:12: Null port on module (perhaps extraneous comma)
75 | module t18(,);
| ^
%Warning-NULLPORT: t/t_lint_nullport.v:75:13: Null port on module (perhaps extraneous comma)
75 | module t18(,);
| ^
%Error: Exiting due to

View File

@ -0,0 +1,108 @@
%Warning-NULLPORT: t/t_lint_nullport_bad.v:23:13: Null port on module (perhaps extraneous comma)
23 | module t5(a,);
| ^
... For warning description see https://verilator.org/warn/NULLPORT?v=latest
... Use "/* verilator lint_off NULLPORT */" and lint_on around source to disable this message.
%Warning-NULLPORT: t/t_lint_nullport_bad.v:27:13: Null port on module (perhaps extraneous comma)
27 | module t6(a,,);
| ^
%Warning-NULLPORT: t/t_lint_nullport_bad.v:27:14: Null port on module (perhaps extraneous comma)
27 | module t6(a,,);
| ^
%Warning-NULLPORT: t/t_lint_nullport_bad.v:31:15: Null port on module (perhaps extraneous comma)
31 | module t7(a,b,);
| ^
%Warning-NULLPORT: t/t_lint_nullport_bad.v:35:15: Null port on module (perhaps extraneous comma)
35 | module t8(a,b,,);
| ^
%Warning-NULLPORT: t/t_lint_nullport_bad.v:35:16: Null port on module (perhaps extraneous comma)
35 | module t8(a,b,,);
| ^
%Warning-NULLPORT: t/t_lint_nullport_bad.v:39:13: Null port on module (perhaps extraneous comma)
39 | module t9(a,,b);
| ^
%Warning-NULLPORT: t/t_lint_nullport_bad.v:43:14: Null port on module (perhaps extraneous comma)
43 | module t10(a,,b,);
| ^
%Warning-NULLPORT: t/t_lint_nullport_bad.v:43:17: Null port on module (perhaps extraneous comma)
43 | module t10(a,,b,);
| ^
%Warning-NULLPORT: t/t_lint_nullport_bad.v:47:14: Null port on module (perhaps extraneous comma)
47 | module t11(a,,b,,);
| ^
%Warning-NULLPORT: t/t_lint_nullport_bad.v:47:17: Null port on module (perhaps extraneous comma)
47 | module t11(a,,b,,);
| ^
%Warning-NULLPORT: t/t_lint_nullport_bad.v:47:18: Null port on module (perhaps extraneous comma)
47 | module t11(a,,b,,);
| ^
%Warning-NULLPORT: t/t_lint_nullport_bad.v:51:12: Null port on module (perhaps extraneous comma)
51 | module t12(,a,,b);
| ^
%Warning-NULLPORT: t/t_lint_nullport_bad.v:51:15: Null port on module (perhaps extraneous comma)
51 | module t12(,a,,b);
| ^
%Warning-NULLPORT: t/t_lint_nullport_bad.v:55:12: Null port on module (perhaps extraneous comma)
55 | module t13(,a,,b,);
| ^
%Warning-NULLPORT: t/t_lint_nullport_bad.v:55:15: Null port on module (perhaps extraneous comma)
55 | module t13(,a,,b,);
| ^
%Warning-NULLPORT: t/t_lint_nullport_bad.v:55:18: Null port on module (perhaps extraneous comma)
55 | module t13(,a,,b,);
| ^
%Warning-NULLPORT: t/t_lint_nullport_bad.v:59:12: Null port on module (perhaps extraneous comma)
59 | module t14(,a,,b,,);
| ^
%Warning-NULLPORT: t/t_lint_nullport_bad.v:59:15: Null port on module (perhaps extraneous comma)
59 | module t14(,a,,b,,);
| ^
%Warning-NULLPORT: t/t_lint_nullport_bad.v:59:18: Null port on module (perhaps extraneous comma)
59 | module t14(,a,,b,,);
| ^
%Warning-NULLPORT: t/t_lint_nullport_bad.v:59:19: Null port on module (perhaps extraneous comma)
59 | module t14(,a,,b,,);
| ^
%Warning-NULLPORT: t/t_lint_nullport_bad.v:63:12: Null port on module (perhaps extraneous comma)
63 | module t15(,,a,,b);
| ^
%Warning-NULLPORT: t/t_lint_nullport_bad.v:63:13: Null port on module (perhaps extraneous comma)
63 | module t15(,,a,,b);
| ^
%Warning-NULLPORT: t/t_lint_nullport_bad.v:63:16: Null port on module (perhaps extraneous comma)
63 | module t15(,,a,,b);
| ^
%Warning-NULLPORT: t/t_lint_nullport_bad.v:67:12: Null port on module (perhaps extraneous comma)
67 | module t16(,,a,,b,);
| ^
%Warning-NULLPORT: t/t_lint_nullport_bad.v:67:13: Null port on module (perhaps extraneous comma)
67 | module t16(,,a,,b,);
| ^
%Warning-NULLPORT: t/t_lint_nullport_bad.v:67:16: Null port on module (perhaps extraneous comma)
67 | module t16(,,a,,b,);
| ^
%Warning-NULLPORT: t/t_lint_nullport_bad.v:67:19: Null port on module (perhaps extraneous comma)
67 | module t16(,,a,,b,);
| ^
%Warning-NULLPORT: t/t_lint_nullport_bad.v:71:12: Null port on module (perhaps extraneous comma)
71 | module t17(,,a,,b,,);
| ^
%Warning-NULLPORT: t/t_lint_nullport_bad.v:71:13: Null port on module (perhaps extraneous comma)
71 | module t17(,,a,,b,,);
| ^
%Warning-NULLPORT: t/t_lint_nullport_bad.v:71:16: Null port on module (perhaps extraneous comma)
71 | module t17(,,a,,b,,);
| ^
%Warning-NULLPORT: t/t_lint_nullport_bad.v:71:19: Null port on module (perhaps extraneous comma)
71 | module t17(,,a,,b,,);
| ^
%Warning-NULLPORT: t/t_lint_nullport_bad.v:71:20: Null port on module (perhaps extraneous comma)
71 | module t17(,,a,,b,,);
| ^
%Warning-NULLPORT: t/t_lint_nullport_bad.v:75:12: Null port on module (perhaps extraneous comma)
75 | module t18(,);
| ^
%Warning-NULLPORT: t/t_lint_nullport_bad.v:75:13: Null port on module (perhaps extraneous comma)
75 | module t18(,);
| ^
%Error: Exiting due to

View File

@ -1,7 +0,0 @@
[0] %Error: t_runflag_errorlimit.v:9: Assertion failed in top.t: One
-Info: t/t_runflag_errorlimit.v:9: Verilog $stop, ignored due to +verilator+error+limit
[0] %Error: t_runflag_errorlimit.v:10: Assertion failed in top.t: Two
-Info: t/t_runflag_errorlimit.v:10: Verilog $stop, ignored due to +verilator+error+limit
[0] %Error: t_runflag_errorlimit.v:11: Assertion failed in top.t: Three
%Error: t/t_runflag_errorlimit.v:11: Verilog $stop
Aborting...

View File

@ -0,0 +1,7 @@
[0] %Error: t_runflag_errorlimit_bad.v:9: Assertion failed in top.t: One
-Info: t/t_runflag_errorlimit_bad.v:9: Verilog $stop, ignored due to +verilator+error+limit
[0] %Error: t_runflag_errorlimit_bad.v:10: Assertion failed in top.t: Two
-Info: t/t_runflag_errorlimit_bad.v:10: Verilog $stop, ignored due to +verilator+error+limit
[0] %Error: t_runflag_errorlimit_bad.v:11: Assertion failed in top.t: Three
%Error: t/t_runflag_errorlimit_bad.v:11: Verilog $stop
Aborting...

View File

@ -15,12 +15,10 @@ compile(
execute(
all_run_flags => ["+verilator+seed+5 +SEED=fffffff4"],
fails => 0,
);
execute(
all_run_flags => ["+verilator+seed+6 +SEED=fffffff2"],
fails => 0,
);
ok(1);

View File

@ -1,4 +1,4 @@
%Error-PKGNODECL: t/t_std_identifier.v:16:20: Package/class 'std' not found, and needs to be predeclared (IEEE 1800-2017 26.3)
%Error-PKGNODECL: t/t_std_identifier_bad.v:16:20: Package/class 'std' not found, and needs to be predeclared (IEEE 1800-2017 26.3)
16 | int baz = foo::std::bar;
| ^~~
... For error description see https://verilator.org/warn/PKGNODECL?v=latest

View File

@ -9,13 +9,14 @@
#include <verilated.h>
#include <verilated_vcd_c.h>
#include "Vt_trace_open_wrong_order.h"
#include "Vt_trace_open_wrong_order_bad.h"
using namespace std;
int main(int argc, char** argv) {
VerilatedContext ctx;
VerilatedVcdC tfp;
Vt_trace_open_wrong_order dut;
Vt_trace_open_wrong_order_bad dut;
ctx.traceEverOn(true);
tfp.open(VL_STRINGIFY(TEST_OBJ_DIR) "/dump.vcd"); // Error! shall put to the next line!
dut.trace(&tfp, 99); // Error!