forked from github/verilator
599d23697d
This is a major re-design of the way code is scheduled in Verilator, with the goal of properly supporting the Active and NBA regions of the SystemVerilog scheduling model, as defined in IEEE 1800-2017 chapter 4. With this change, all internally generated clocks should simulate correctly, and there should be no more need for the `clock_enable` and `clocker` attributes for correctness in the absence of Verilator generated library models (`--lib-create`). Details of the new scheduling model and algorithm are provided in docs/internals.rst. Implements #3278
35 lines
757 B
Systemverilog
35 lines
757 B
Systemverilog
// DESCRIPTION: Verilator: Verilog Test module
|
|
//
|
|
// Copyright 2022 by Geza Lore. This program is free software; you can
|
|
// redistribute it and/or modify it under the terms of either the GNU
|
|
// Lesser General Public License Version 3 or the Perl Artistic License
|
|
// Version 2.0.
|
|
// SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
|
|
|
|
|
|
module top(
|
|
clk,
|
|
inc
|
|
);
|
|
|
|
input clk;
|
|
input [31:0] inc;
|
|
|
|
// Cycle count
|
|
reg [31:0] cyc = 0;
|
|
|
|
// Combinational logic driven from primary input
|
|
wire [31:0] sum = cyc + inc;
|
|
|
|
always @(posedge clk) begin
|
|
$display("cyc: %d sum: %d", cyc, sum);
|
|
if (sum != 2*cyc + 1) $stop;
|
|
cyc <= cyc + 1;
|
|
if (cyc == 100) begin
|
|
$write("*-* All Finished *-*\n");
|
|
$finish;
|
|
end
|
|
end
|
|
|
|
endmodule
|