Wilson Snyder
a176054118
Add --trace-max-width and --trace-max-array, bug 319.
2011-02-23 21:58:27 -05:00
Wilson Snyder
9a697dc5f5
Accelerate bit-selected inversions.
2011-02-23 21:36:38 -05:00
Wilson Snyder
cfdb852843
Fix concatenates and vectored bufif1, bug326.
2011-02-23 21:21:59 -05:00
Wilson Snyder
2e67a91982
Support $bits(data_type), bug327.
2011-02-23 19:04:15 -05:00
Wilson Snyder
40d961e059
Version bump
2011-02-14 19:05:55 -05:00
Wilson Snyder
e5de759236
Report error on function call output tied to constant.
...
Fix internal error on functions called as SV tasks.
2011-02-14 19:25:30 -05:00
Wilson Snyder
e26a75c59d
Fix internal error on non-inlined inout pins.
2011-02-07 19:15:58 -05:00
Wilson Snyder
8701145b40
Fix error on constants connected to outputs, bug323.
2011-01-31 07:05:04 -05:00
Wilson Snyder
a2558886c8
Report errors on empty pins, bug321
2011-01-29 18:00:48 -05:00
Wilson Snyder
f818ee86ae
Report errors on duplicated pins, bug321.
2011-01-29 17:01:06 -05:00
Wilson Snyder
a435ae98f9
Throw UNUSED/UNDRIVEN only once per net in a parametrized module.
2011-01-18 21:28:51 -05:00
Wilson Snyder
8287cf35e7
Fix warnings to point to lowest net usage, not upper level ports.
2011-01-17 14:10:01 -05:00
Wilson Snyder
fab7924cc2
Fix block comment not separating identifiers, bug311.
2011-01-11 18:46:21 -05:00
Wilson Snyder
0ab739e8b1
Fix false BLKSEQ on non-unrolled for loop indexes.
2011-01-06 06:46:19 -05:00
Wilson Snyder
2dcd4d171b
Version bump
2011-01-03 13:32:16 -05:00
Wilson Snyder
71c1f00ec2
Copyright year update
2011-01-01 18:21:19 -05:00
Wilson Snyder
4afcb421bd
With --Wall, add BLKSEQ warning on blocking assignments in seq blocks.
2010-12-31 18:36:29 -05:00
Wilson Snyder
8d21917035
Add SYNCASYNCNET
2010-12-31 07:51:14 -05:00
Wilson Snyder
c33299d542
Add UNUSED and UNDRIVEN warnings
2010-12-29 08:06:05 -05:00
Wilson Snyder
850de5913d
With --Wall, add IFDEPTH warning on deep if statements.
2010-12-26 09:31:09 -05:00
Wilson Snyder
3e4e8feb29
With --Wall, add DECLFILENAME warning on modules not matching filename.
2010-12-25 16:31:22 -05:00
Wilson Snyder
fedf347b1a
With --Wall, add INCABSPATH warning on `include with absolute paths.
2010-12-25 15:50:07 -05:00
Wilson Snyder
285277a50b
Add -Wall; move VARHIDDEN to style warnings
2010-12-25 15:28:13 -05:00
Wilson Snyder
323c96f42f
Add -Wwarn-style, -Wno-style, and DEFPARAM warnings
2010-12-25 15:13:56 -05:00
Wilson Snyder
7dee344ea9
Add limited support for VPI access to public signals, see docs.
2010-12-25 14:39:41 -05:00
Wilson Snyder
d6ac5e5001
Support ++,--,+= etc as standalone statements.
2010-12-07 20:18:47 -05:00
Wilson Snyder
d13e6c73db
When running with VERILATOR_ROOT, optionally find binaries under bin.
2010-12-07 11:43:43 -05:00
Wilson Snyder
cfd07ccd34
Suppress WIDTH warnings when adding/subtracting 1'b1.
2010-12-02 14:00:43 -05:00
Wilson Snyder
eeb8fc2626
Add -F option to read relative option files, bug297.
2010-11-03 07:21:34 -04:00
Wilson Snyder
3d1baf3f2f
Version bump
2010-11-02 21:11:02 -04:00
Wilson Snyder
56c7c1bcc8
Add warning when directory contains spaces, msg378
2010-10-28 09:51:36 -04:00
Wilson Snyder
f88e57ddb8
Fix DPI export name not found, msg369.
2010-10-22 13:10:31 -04:00
Wilson Snyder
9d98e012e4
Fix segfault on SystemVerilog "output wire foo=0", bug291.
2010-10-04 07:48:09 -04:00
Wilson Snyder
d305a774f0
Fix wrong filename on include file errors, bug289
2010-09-28 09:33:59 -04:00
Wilson Snyder
ed2fbd8f25
Version bump
2010-09-20 15:46:17 -04:00
Wilson Snyder
42199bc8e5
Fix preprocessor `` of existing base define, bug283.
2010-09-20 15:20:16 -04:00
Wilson Snyder
aba25aab19
On core dump, print debug suggestions.
2010-09-20 09:21:29 -04:00
Wilson Snyder
f99bd33f91
Support tracing/coverage of underscore signals, bug280.
2010-08-29 19:28:46 -04:00
Wilson Snyder
f4908a9758
Increase define recursions before error
2010-08-10 11:18:53 -04:00
Wilson Snyder
5e4ca4b76a
Version bump
2010-07-10 18:41:23 -04:00
Wilson Snyder
1e938d0e90
Update preprocessor to match next Verilog-Perl version.
...
Fix preprocessor preservation of newlines across macro substitutions.
Fix preprocessor stringification of nested macros.
Fix preprocessor whitespace on define arguments
2010-07-10 18:30:16 -04:00
Wilson Snyder
a320c4584e
Fix do {...} while() not requiring final semicolon.
2010-07-07 19:15:51 -04:00
Wilson Snyder
06967c0c46
Fix some constant parameter functions causing crash, bug253.
2010-05-25 19:37:45 -04:00
Wilson Snyder
44b6c371db
Version bump
2010-05-01 14:18:56 -04:00
Wilson Snyder
00970be996
Fix bit reductions on multi-packed dimensions, bug227/patch0004.
2010-04-22 09:40:53 -04:00
Wilson Snyder
aca4ab015f
Fix removing if assigned to unused var, bug248.
2010-04-19 19:38:22 -04:00
Wilson Snyder
ed17581f92
Fix loop unroller out of memory; change --unroll-stmts.
2010-04-17 08:01:22 -04:00
Wilson Snyder
ef51de72c9
Fix word size to match uint64_t on -m64 systems, bug238.
2010-04-09 21:51:15 -04:00
Byron Bradley
2525b3fb05
Fix bit reductions on multi-packed dimensions, bug227
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2010-04-09 21:05:46 -04:00
Wilson Snyder
83fff41312
Fix installing data files as non-executable, bug168.
2010-04-09 20:21:00 -04:00
Wilson Snyder
f8eabbc100
From Verilog-Perl: Fix parsing single files > 2GB.
2010-04-06 20:20:44 -04:00
Wilson Snyder
bc31b5d27a
Commentary
2010-04-05 20:08:07 -04:00
Wilson Snyder
936738b750
Add /*verilator public_flat_rw*/ for timing-specific public access.
2010-04-05 20:01:17 -04:00
Wilson Snyder
7c3048ab9c
Report errors when extra underscores used in meta-comments.
2010-03-24 22:08:59 -04:00
Wilson Snyder
495585830d
Fix trace files with empty modules crashing some viewers.
2010-03-22 18:38:24 -04:00
Wilson Snyder
6715cb9880
Improve error handling on slices of arrays, bug226.
2010-03-20 21:29:16 -04:00
Wilson Snyder
bcea39a858
Fix "make install" with configure outside srcdir.
2010-03-17 20:20:40 -04:00
Wilson Snyder
ba93a08b40
Support runtime access to public signal names
2010-03-17 08:22:49 -04:00
Wilson Snyder
6ef44a6fbb
Version bump
2010-03-17 07:59:12 -04:00
Wilson Snyder
e57d004718
Fix clock-gates with non-AND complex logic, bug220.
2010-03-16 18:50:26 -04:00
Wilson Snyder
d780d0aabb
Fix flushing VCD buffers on .
2010-03-12 20:00:08 -05:00
Wilson Snyder
381972c923
Fix Mac OS-X compile issues, bug217.
2010-02-26 19:50:44 -05:00
Wilson Snyder
f1b6c0c559
Support "`default_nettype none|wire".
2010-02-23 09:27:16 -05:00
Wilson Snyder
e39eddf3fe
Fix parametrized defines with empty arguments.
2010-02-21 07:20:39 -05:00
Wilson Snyder
cdd06e7236
Support "break", "continue", "return".
2010-02-14 10:01:21 -05:00
Wilson Snyder
63f30492be
Skip SystemC tests if not installed.
2010-02-08 20:51:43 -05:00
Wilson Snyder
0e8772290d
Fix make uninstall, bug216
2010-02-08 09:28:40 -05:00
Wilson Snyder
716f237c4c
Version bump
2010-02-07 07:01:13 -05:00
Wilson Snyder
b85e7ab0b1
Commentary
2010-02-06 20:00:13 -05:00
Wilson Snyder
8dca56521b
Fix MinGW compilation printing %lls, bug214
2010-02-01 09:28:53 -05:00
Wilson Snyder
c49e0ac5c8
Add -CFLAGS, -LDFLAGS, <file>.a, <file>.o, and <file>.so options.
2010-01-28 19:33:02 -05:00
Wilson Snyder
4df9d70e4a
Support 1800-2009 /*comments*/ in define values. Match Verilog-Perl
2010-01-28 09:41:24 -05:00
Wilson Snyder
11e702c430
SystemPerl is no longer required for tracing.
...
Applications must use VerilatedVcdC class in place of SpTraceVcdC.
2010-01-24 18:37:01 -05:00
Wilson Snyder
b0f431e1a2
Fix MSVC++ 2008 compile issues, bug209.
2010-01-24 07:52:43 -05:00
Wilson Snyder
58efab5cdf
Add SystemVerilog 1800-2009 keywords
2010-01-21 21:58:45 -05:00
Wilson Snyder
c695af31b8
Add experimental config files to filter warnings outside of the source.
2010-01-21 06:11:30 -05:00
Wilson Snyder
6196cf09ff
Add experimental --pipe-filter to filter all Verilog input.
2010-01-20 07:15:51 -05:00
Wilson Snyder
d2bce042a5
Fix for variable typing, bug205.
2010-01-19 19:27:36 -05:00
Wilson Snyder
bded8755a1
Support multi-dimensional arrays as inputs/outputs
2010-01-19 13:18:40 -05:00
Wilson Snyder
89e03a86b7
Support assignments of multidimensional slices, bug170
2010-01-19 10:52:11 -05:00
Wilson Snyder
d651063749
Fix Cygwin 1.7.x compiler error with uint32_t, bug204.
2010-01-16 11:53:08 -05:00
Wilson Snyder
e40b5819bd
Speed compiles by avoiding including the STL iostream header.
2010-01-14 21:03:06 -05:00
Wilson Snyder
d1cb3b0d15
Fix duplicate implicit variables under generates, bug201
2010-01-11 12:36:12 -05:00
Wilson Snyder
c1fc629c54
Fix lint_off/lint_on pairs on same line as warning
2010-01-09 12:33:01 -05:00
Wilson Snyder
0c4e40102f
Make duplicate modules a MODDUP error that can be disabled
2010-01-07 20:25:54 -05:00
Wilson Snyder
27e8126b18
Cdc commentary
2010-01-07 18:51:52 -05:00
Wilson Snyder
bf860b21d7
Clock domain crossing checks
2010-01-07 16:41:19 -05:00
Wilson Snyder
729dfdfed7
Copyright year update
2010-01-05 21:15:06 -05:00
Wilson Snyder
dbce06500d
Support enums
2009-12-27 08:29:55 -05:00
Wilson Snyder
7a81dd3378
Fix tracing with --pins-bv 1, bug195
2009-12-25 10:01:55 -05:00
Wilson Snyder
e7cbefa316
Support 1800-2009 defines with default arguments.
2009-12-24 11:33:39 -05:00
Wilson Snyder
9b0d26aedd
Support `undefineall
2009-12-20 22:26:48 -05:00
Wilson Snyder
ef3ed6e338
Support DPI exports
2009-12-20 08:27:00 -05:00
Wilson Snyder
934dc842e0
Add --bbox-unsup option to black-box unsupported UDP tables.
2009-12-16 11:45:28 -05:00
Wilson Snyder
6a2aa7e4f0
Fix creating implicit variables for expressions, bug196.
2009-12-08 18:29:24 -05:00
Wilson Snyder
a40fae04ce
Support direct programming interface (DPI) "import".
2009-12-03 06:55:29 -05:00
Wilson Snyder
f8cb6979d7
Add Makefile VM_GLOBAL_FAST, listing objects needed to link executables.
...
Add additional commentary to makefiles and other output files.
2009-12-02 21:15:56 -05:00
Wilson Snyder
d2a27a84cf
Support chandle
2009-11-24 09:11:25 -05:00
Wilson Snyder
c7d8eb126f
Support and .
2009-11-23 21:24:55 -05:00
Wilson Snyder
af5aa38bc3
Fix `define argument mis-replacing system task of same name, bug191.
2009-11-23 14:26:04 -05:00
Wilson Snyder
62f707f501
Support $test$plusargs and $value$plusargs, but see the docs.
2009-11-19 17:04:21 -05:00
Wilson Snyder
19d62b7a68
Support 'time'.
2009-11-19 10:45:59 -05:00
Wilson Snyder
3fc55bba8e
Fix MinGW compilation, bug184.
2009-11-13 11:08:30 -05:00
Wilson Snyder
736b9074c8
Support for loop i++, ++i, i--, --i, bug175.
2009-11-10 16:40:07 -05:00
Wilson Snyder
376147911f
Support optional cell parenthesis, bug179
2009-11-10 16:29:58 -05:00
Wilson Snyder
82d917c2c5
Fix Verilator core dump on wide integer divides, bug178.
2009-11-10 10:44:50 -05:00
Wilson Snyder
67d1dad77c
Support declarations in loop initializers, bug172.
2009-11-09 19:09:27 -05:00
Wilson Snyder
3b39c3391d
Support "import".
2009-11-09 19:07:59 -05:00
Wilson Snyder
68567e763c
Support "package" and $unit.
...
Add VARHIDDEN warning when signal name hides module name.
2009-11-07 21:05:02 -05:00
Wilson Snyder
377f194837
Support typedef
2009-11-06 23:16:06 -05:00
Wilson Snyder
b1ce6bd5cc
Support "var"
2009-11-05 19:57:31 -05:00
Wilson Snyder
81915540de
Support "reg x [3][2]".
2009-11-05 19:26:44 -05:00
Wilson Snyder
0d65f08b1d
Support "program".
2009-11-05 19:09:45 -05:00
Wilson Snyder
ffbd1fd474
Support "reg [1:0][1:0][1:0]", bug176.
2009-11-05 09:57:23 -05:00
Wilson Snyder
f59467b897
Support void functions.
...
Fix "int" etc added in wrong keyword section in last commit.
2009-11-02 22:50:31 -05:00
Wilson Snyder
6bc81d3d26
Support byte, shortint, int, longint in variables, parameters and functions.
...
Internals: function/var sizing and signing now comes via dtypep()
Internals: cleanup code that widths parameters (again)
2009-11-02 22:14:11 -05:00
Wilson Snyder
e4d5367ead
Version bump
2009-10-26 20:19:23 -04:00
Wilson Snyder
47b5157f01
Support division and modulus of > 64 bit vectors.
2009-10-26 20:12:09 -04:00
Wilson Snyder
39444d83c5
Support little endian bit vectors ("reg [0:2] x;").
2009-10-25 16:53:55 -04:00
Wilson Snyder
e14695c96e
Fix erroring on strings with backslashed newlines, bug168.
2009-10-22 21:46:49 -04:00
Wilson Snyder
b1e6fe7139
Fix core dump with SystemVerilog var declarations under unnamed begins.
2009-10-11 20:50:31 -04:00
Wilson Snyder
92718a819c
Fix cell port connection to unsized integer causing false width warning.
2009-10-09 22:55:37 -04:00
Wilson Snyder
ceb2f6894f
Fix writing to out-of-bounds arrays writing element 0.
2009-10-08 20:42:45 -04:00
Wilson Snyder
db5674cb08
Fix VCD files showing internal flattened hierarchy, broke in 3.714.
2009-09-26 09:31:50 -04:00
Wilson Snyder
3a0babb47d
Version bump
2009-09-18 22:37:53 -04:00
Wilson Snyder
abc738b6f1
Fix deep defines causing flex scanner overflows.
2009-09-17 22:23:18 -04:00
Wilson Snyder
d37cc5a160
Fix preprocessing commas in deep parameterized macros.
2009-09-17 22:00:17 -04:00
Wilson Snyder
1a0de753e9
Don't require SYSTEMPERL_INCLUDE if SYSTEMPERL/src exists.
2009-09-17 21:31:03 -04:00
Wilson Snyder
0c0a588b55
Support generate for var++, var--, ++var, --var.
2009-09-16 10:32:14 -04:00
Wilson Snyder
faa5ef193b
Add --bbox-sys option to blackbox $system calls.
2009-09-16 09:28:09 -04:00
Wilson Snyder
9cf61cef9d
Fix --error-limit option being ignored.
2009-09-15 18:11:21 -04:00
Wilson Snyder
0b24e62d43
Fix $display with uppercase %M.
2009-09-11 19:25:42 -04:00
Wilson Snyder
91b1e66933
Fix tracing escaped dotted identifiers.
2009-09-07 15:55:54 -04:00
Wilson Snyder
eea2712eac
Improved warning when "do" used as identifier.
2009-09-07 15:54:12 -04:00
Wilson Snyder
b19a4b6956
Version bump
2009-08-04 15:23:23 -04:00
Wilson Snyder
a01c995a53
Fix escaped preprocessor identifiers, bug106.
2009-07-31 12:02:43 -04:00
Wilson Snyder
59b491e3a8
Allow renaming C keywords
2009-07-22 15:21:41 -04:00
Wilson Snyder
aeeaaa53d4
Support constant function calls for parameters.
2009-07-17 14:13:11 -04:00
Wilson Snyder
4dde1ede0e
Support SystemVerilog "logic", bug101.
2009-07-16 09:19:15 -04:00
Wilson Snyder
0607edd191
Version bump
2009-07-14 08:42:01 -04:00
Wilson Snyder
6835aecdce
On WIDTH warnings, show variable name causing error.
2009-07-09 17:39:24 -04:00
Wilson Snyder
8174c1ad02
Patching SystemC is no longer required to trace sc_bvs.
2009-07-07 17:51:00 -04:00
Wilson Snyder
be1a3f427e
Support zero-width constants in concatenations.
2009-06-30 11:54:07 -04:00
Wilson Snyder
348c43de63
Add verilator --pins-uint8 option to use sc_in<uint8_t/uint16_t>.
2009-06-29 09:21:21 -04:00
Wilson Snyder
8b20d777b0
Add verilator -V option, to show verbose version.
2009-06-25 19:53:26 -04:00
Wilson Snyder
ec0947ef7e
Add BLKLOOPINIT error code, and describe --unroll-count.
2009-06-24 17:24:42 -04:00
Wilson Snyder
02e6005fa9
Version bump
2009-06-23 14:09:38 -04:00
Wilson Snyder
a32caac3c1
Fix error on case statement with all duplicate items, bug99.
2009-06-22 18:49:20 -04:00
Wilson Snyder
02d162858f
Fix compiler errors under Fedora release candidate 11.
2009-06-12 09:56:46 -04:00
Wilson Snyder
3c395c26be
Support decimal constants of arbitrary widths.
2009-06-12 08:27:48 -04:00
Wilson Snyder
ea78520220
Fix tristates causing "Assigned pin is neither..."
2009-06-09 15:37:52 -04:00
Wilson Snyder
b9be4ae4e8
Fix segfault on unrolling for's with bad inits, bug90.
2009-06-08 15:59:33 -04:00
Wilson Snyder
e9a7f60fa7
Version bump
2009-05-19 07:50:56 -04:00
Wilson Snyder
663f3592ba
`__FILE__ now expands to a string, per draft SystemVerilog 2010(ish).
2009-05-19 07:15:13 -04:00
Wilson Snyder
4e522ab7f5
Fix define formal arguments that contain newlines, bug84.
2009-05-11 11:57:43 -04:00
Wilson Snyder
96d150e92d
Verilator_includer is no longer installed twice, bug48.
2009-05-08 15:05:12 -04:00
Wilson Snyder
a3e463030d
Fix escaped identifiers with '.' causing conflicts, bug83.
2009-05-08 13:16:19 -04:00
Wilson Snyder
7df730cedd
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
2009-05-04 17:07:57 -04:00
Wilson Snyder
314ffd9353
Version bump
2009-05-02 07:38:24 -04:00
Wilson Snyder
2c953dc37f
Fix $clog2 calculation error with powers-of-2, bug81.
2009-05-01 22:18:32 -04:00
Wilson Snyder
9b9e4e5a3f
Fix "redefining I" error with complex includes.
2009-04-28 07:19:50 -04:00
Wilson Snyder
50f835c701
Fix segfault with error on bad --top-module, bug79.
2009-04-24 10:32:11 -04:00
Wilson Snyder
cbb3351d97
Fix GCC 4.3.2 compile warnings.
2009-04-23 09:16:25 -04:00
Wilson Snyder
86f08a341c
Fix error with tasks that have output first, bug78.
2009-04-08 14:33:12 -04:00
Wilson Snyder
3d85cbe6b5
Fix "cloning" error with -y/--top-module, bug76.
2009-04-07 13:23:25 -04:00
Wilson Snyder
eb072da81c
Version bump
2009-03-28 10:30:35 -04:00
Wilson Snyder
38669d0a10
Add SYSTEMPERL_INCLUDE envvar to assist RPM builds.
2009-03-28 10:18:53 -04:00
Wilson Snyder
96692e8a5b
Report errors when duplicate labels are used, bug72.
2009-03-23 14:57:15 -04:00
Wilson Snyder
71bdfd9710
Fix ASSIGN_SI errors with new --pins-bv 1 option
2009-03-13 22:58:55 -04:00
Wilson Snyder
193dcf38f4
Add --pins-bv option to use sc_bv for all ports.
2009-03-13 14:17:30 -04:00
Wilson Snyder
09091781cf
Fix the SC_MODULE name() to not include __PVT__, for nicer coverage.
2009-03-12 14:07:38 -04:00
Wilson Snyder
023519c8f6
Version bump
2009-02-26 07:44:00 -05:00
Wilson Snyder
d60d0a60c7
Support repeat and forever statements.
2009-02-25 22:06:59 -05:00
Wilson Snyder
85419f01a9
Fix left associativity for ?: operators.
2009-02-07 20:54:09 -05:00
Wilson Snyder
2224918730
Fix error messages to consistently go to stderr.
2009-01-26 07:57:59 -05:00
Wilson Snyder
9162e68d82
Commentary
2009-01-24 21:35:08 -05:00
Wilson Snyder
81092edab4
Commentary
2009-01-21 16:59:42 -05:00
Wilson Snyder
21b5a4e9e4
Add --debugi-<srcfile> option.
2009-01-21 16:56:50 -05:00
Wilson Snyder
057928b079
Fix VL_RANDom to better randomize bits.
2009-01-21 13:52:51 -05:00
Wilson Snyder
13e8176884
Fix compile issues with GCC 4.3, bug47.
2009-01-09 11:28:50 -05:00
Wilson Snyder
aac0130613
Version bump
2009-01-08 10:52:37 -05:00
Wilson Snyder
0877f44cb5
Fix creating parameterized modules when no parameter values are changed.
2009-01-08 09:22:31 -05:00
Lane Brooks
0e4f9170fa
Tristate support; merge from branch.
2009-01-06 11:03:57 -05:00
Wilson Snyder
41555e5aa3
Coverage of each parametarized module is counted separately.
2009-01-05 14:16:09 -05:00
Wilson Snyder
3d06720628
Copyright year update
2009-01-02 11:47:39 -05:00
Wilson Snyder
c1d2b98386
Fix wrong result for read of delayed FSM signal, bug46.
2008-12-30 17:11:25 -05:00
Wilson Snyder
1a60723d77
Fix internal error on "output x; reg x = y;"
2008-12-30 14:34:01 -05:00
Wilson Snyder
a07a234761
Fix compile error on Ubuntu 8.10.
2008-12-22 11:28:42 -05:00
Wilson Snyder
74cf205bcf
Move coverage type field into page field, and add module name
2008-12-12 16:04:56 -05:00
Wilson Snyder
77405ddded
Add toggle coverage
2008-12-12 15:34:02 -05:00
Wilson Snyder
6b46da0240
Create /*verilator coverage_on/off*/ instead of coverage_module_off.
...
This allows finer grained bracketing of sections of interest.
Convert tracing_on/off to use the same general scheme.
2008-12-11 16:01:41 -05:00
Wilson Snyder
0fed1d34d1
Add /*verilator coverage_module_off*/
2008-12-10 17:10:03 -05:00
Wilson Snyder
adebc99e49
Fix certain generate-if cells causing clone error.
2008-12-09 20:59:22 -05:00
Wilson Snyder
d3d1291d5a
Fix line coverage of public functions.
...
Line coverage now aggregates by hierarchy automatically.
Previously this would be done inside SystemPerl, which was slower.
2008-12-05 10:54:14 -05:00
Wilson Snyder
9d856ec1bf
Fix SystemC 2.2 deprecated warnings about sensitive() and sc_start().
2008-12-03 10:11:28 -05:00
Wilson Snyder
30ad20be52
Internals: Eliminate user5 for smaller AstNode/better runtime
2008-11-25 07:57:02 -05:00
Wilson Snyder
41dbfc9e1c
Support posedge of bit-selected signals, bug45.
2008-11-22 21:10:20 -05:00
Wilson Snyder
b07dd622fa
Commentary: Debugging and some astgen code cleanup.
...
No functional change.
2008-11-22 17:37:20 -05:00
Wilson Snyder
211894cb3e
Fix arrayed variables under function not compiling, bug44.
2008-11-19 09:43:03 -05:00
Wilson Snyder
b75ff3652c
Fix internal signal names containing control characters (broke in 3.680).
...
Internally this means for signal names use __0{xdigit}{xdigit} and avoid
__0 in other cases.
2008-11-17 21:02:10 -05:00
Wilson Snyder
13f6c5a934
Fix --output-split-cfuncs to also split trace code.
2008-11-17 17:13:57 -05:00
Wilson Snyder
2e0f6e2b13
Optimize two-level shift and and/or trees.
2008-11-17 11:36:01 -05:00
Wilson Snyder
e46e7bbf99
Fix 'bad select range' warning missing some cases, bug43.
2008-11-12 20:54:58 -05:00
Wilson Snyder
4eeeb72dd5
Version bump
2008-11-12 15:32:22 -05:00
Wilson Snyder
f3a4752799
Fix MSVC compile error; bug42.
2008-11-05 10:52:23 -05:00
Wilson Snyder
3e8cf26c1a
Fix coverage hierarchy being backwards with inlining.
2008-11-04 17:19:59 -05:00
Wilson Snyder
ce212722ad
Fix 'for' under 'generate-for' causing error; bug38.
2008-10-28 21:38:01 -04:00
Wilson Snyder
5c127daeb4
Fix wrong version for some changes; docs only
2008-10-28 21:09:45 -04:00
Wilson Snyder
e49fc945df
Fix GCC 4.3 compile error; bug35
2008-10-15 10:49:05 -04:00
Wilson Snyder
384807ebbd
Ignore SystemVerilog timeunit and timeprecision
2008-10-14 14:49:54 -04:00
Wilson Snyder
ac619ef3d8
Include Verilog file's directory name in coverage reports.
2008-10-14 14:27:11 -04:00
Wilson Snyder
a348bd3458
Add unique and parallel case
2008-10-10 19:02:27 -04:00
Wilson Snyder
bcc7045fc9
Version bump
2008-10-08 17:08:47 -04:00
Wilson Snyder
3b1929259a
Support negative bit indexes.
...
Allow arbitrary characters in symbols (to make '-' work.)
Final merge from negative_lsb branch.
2008-10-06 09:59:22 -04:00
Wilson Snyder
cdd6ea8e60
Fix genvars causing link error when using --public.
2008-09-30 08:58:07 -04:00
Wilson Snyder
4b8927af75
Expand environment variables in -f input files.
2008-09-29 15:51:45 -04:00
Wilson Snyder
bd6e8d808c
Report error if port declaration is missing; bug32.
2008-09-23 09:35:00 -04:00
Wilson Snyder
f197dd29cb
Suppress width warnings between constant strings and wider vectors.
2008-09-22 19:36:08 -04:00
Wilson Snyder
daf7f42138
Version bump
2008-09-19 17:23:15 -04:00
Wilson Snyder
d90071637d
SystemC uint64_t pins are now the default instead of sc_bv<64>.
...
Use --no-pins64 for backward compatibility.
2008-09-18 09:20:16 -04:00
Wilson Snyder
22543f3e19
Support arbitrary characters in identifiers and tracing.
2008-09-17 22:22:46 -04:00
Wilson Snyder
99cf981c2f
Support coverage under SystemPerl 1.285 and newer.
2008-09-04 09:43:53 -04:00
Wilson Snyder
4770fd39d2
Fix stack overflow on large ? : trees.
2008-08-20 15:59:10 -04:00
Wilson Snyder
3463080a71
Fix extra evaluation of pure combo blocks in SystemC output.
2008-08-06 17:09:33 -04:00
Wilson Snyder
500dc2170f
Support SystemVerilog "cover property" statements.
2008-08-06 12:52:39 -04:00
Wilson Snyder
2b63219cc6
Add IMPERFECTSCH warning, disabled by default.
2008-08-05 13:41:53 -04:00
Wilson Snyder
f1b7762bef
Add by-design and by-module subtotals to verilator_profcfunc.
2008-08-05 09:59:15 -04:00
Wilson Snyder
043ad86482
When warnings are disabled on signals that are flattened out, disable
...
the warnings on the signal(s) that replace it.
2008-08-01 15:30:17 -04:00
Wilson Snyder
d499161446
Fix typo in CASEWITHX
2008-07-23 08:58:48 -04:00
Wilson Snyder
34e8de56bc
Version bump
2008-07-23 07:51:32 -04:00
Wilson Snyder
8a7864ebaa
Add --Wfuture-, for improving forward compatibility.
2008-07-22 14:27:34 -04:00
Wilson Snyder
9e5fb5467f
Add CASEZWITHX lint warning and if disabled fix handling of casez with Xs.
2008-07-22 13:07:19 -04:00
Wilson Snyder
fb34bf7222
Fix lvalue errors with public functions; bug25.
2008-07-22 11:15:28 -04:00
Wilson Snyder
4591f35b7c
Add --autoflush option
2008-07-16 14:06:08 -04:00
Wilson Snyder
f0a06182ca
Add --x-assign=fast, and make it the default.
2008-07-16 13:31:21 -04:00
Wilson Snyder
d9e47a6293
Add WIDTH warning to etc file descriptors.
2008-07-14 17:15:26 -04:00
Wilson Snyder
826b997166
Add .
2008-07-14 13:16:05 -04:00
Wilson Snyder
5771ea48ef
Fix IMPURE errors due to X-assignment temporary variables.
2008-07-14 10:42:58 -04:00
Wilson Snyder
e6a43fc127
Fix verilator_includer not being installed into where verilated.mk.in expects
2008-07-01 16:10:09 -04:00
Wilson Snyder
701bd38d01
Add support for , . Bug14.
2008-07-01 14:15:10 -04:00
Wilson Snyder
1a8c8bec0d
Rework $display/$write to go via new VL_WRITE function instead of
...
converting to pure C printf call. This makes the resulting code smaller,
and allows sharing code with future $sprintf support.
2008-06-30 14:31:58 -04:00
Wilson Snyder
0703843ac1
Support , .
2008-06-27 20:04:20 -04:00
Wilson Snyder
d6884db439
Support .
2008-06-27 11:36:25 -04:00
Wilson Snyder
fdcbedef8f
Add support
2008-06-27 08:45:05 -04:00
Wilson Snyder
8afd19648f
Support
2008-06-26 08:52:02 -04:00
Wilson Snyder
3017f12238
Version bump
2008-06-25 07:50:32 -04:00
Wilson Snyder
23ee0342c6
Fix Makefile to find headers/libraries under prefix.
2008-06-24 14:50:34 -04:00
Wilson Snyder
5703377a5f
Fix tracing missing changes on undriven public wires.
2008-06-11 20:33:53 -04:00
Wilson Snyder
b85aa872cc
Make install now installs verilator_includer and verilator_profcfunc.
2008-06-11 15:13:41 -04:00
Wilson Snyder
5a1a5a7d97
Ignore "// verilator" comments alone on endif lines.
2008-06-11 13:09:36 -04:00