From f3945e7c0260ec3903a223ea8a9c244d0a3ff68b Mon Sep 17 00:00:00 2001 From: Wilson Snyder Date: Sat, 1 Jan 2022 14:30:41 -0500 Subject: [PATCH] Tests: Add t_class_param_pkg test. --- test_regress/t/t_class_param_pkg.out | 9 +++ test_regress/t/t_class_param_pkg.pl | 23 ++++++++ test_regress/t/t_class_param_pkg.v | 87 ++++++++++++++++++++++++++++ 3 files changed, 119 insertions(+) create mode 100644 test_regress/t/t_class_param_pkg.out create mode 100755 test_regress/t/t_class_param_pkg.pl create mode 100644 test_regress/t/t_class_param_pkg.v diff --git a/test_regress/t/t_class_param_pkg.out b/test_regress/t/t_class_param_pkg.out new file mode 100644 index 000000000..a0ba6b4d5 --- /dev/null +++ b/test_regress/t/t_class_param_pkg.out @@ -0,0 +1,9 @@ +%Error: t/t_class_param_pkg.v:51:16: Syntax Error: Not expecting CLASSORPACKAGEREF under a DOT in dotted expression + 51 | if (Pkg::Cls#()::PBASE != 12) $stop; + | ^~~ +%Error: t/t_class_param_pkg.v:51:21: Syntax Error: Not expecting PIN under a CLASSORPACKAGEREF in dotted expression + 51 | if (Pkg::Cls#()::PBASE != 12) $stop; + | ^ +%Error: Internal Error: t/t_class_param_pkg.v:51:21: ../V3LinkDot.cpp:#: Pin not under instance? + 51 | if (Pkg::Cls#()::PBASE != 12) $stop; + | ^ diff --git a/test_regress/t/t_class_param_pkg.pl b/test_regress/t/t_class_param_pkg.pl new file mode 100755 index 000000000..2ad4a887d --- /dev/null +++ b/test_regress/t/t_class_param_pkg.pl @@ -0,0 +1,23 @@ +#!/usr/bin/env perl +if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; } +# DESCRIPTION: Verilator: Verilog Test driver/expect definition +# +# Copyright 2020 by Wilson Snyder. This program is free software; you +# can redistribute it and/or modify it under the terms of either the GNU +# Lesser General Public License Version 3 or the Perl Artistic License +# Version 2.0. +# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0 + +scenarios(simulator => 1); + +compile( + fails => $Self->{vlt_all}, + expect_filename => $Self->{golden_filename}, + ); + +execute( + check_finished => 1, + ) if !$Self->{vlt_all}; + +ok(1); +1; diff --git a/test_regress/t/t_class_param_pkg.v b/test_regress/t/t_class_param_pkg.v new file mode 100644 index 000000000..4c53244f8 --- /dev/null +++ b/test_regress/t/t_class_param_pkg.v @@ -0,0 +1,87 @@ +// DESCRIPTION: Verilator: Verilog Test module +// +// This file ONLY is placed under the Creative Commons Public Domain, for +// any use, without warranty, 2020 by Wilson Snyder. +// SPDX-License-Identifier: CC0-1.0 + +`define checks(gotv,expv) do if ((gotv) != (expv)) begin $write("%%Error: %s:%0d: got='%s' exp='%s'\n", `__FILE__,`__LINE__, (gotv), (expv)); $stop; end while(0); + +// See also t_class_param_mod.v + +package Pkg; + typedef class Cls; + + class Wrap #(parameter P = 13); + function int get_p; + return c1.get_p(); + endfunction + function new; + c1 = new; + endfunction + Cls#(PMINUS1 + 1) c1; + localparam PMINUS1 = P - 1; // Checking works when last + endclass + + class Cls #(parameter PBASE = 12); + bit [PBASE-1:0] member; + function bit [PBASE-1:0] get_member; + return member; + endfunction + static function int get_p; + return PBASE; + endfunction + typedef enum { E_PBASE = PBASE } enum_t; + endclass + + typedef Pkg::Cls#(8) Cls8_t; + +endpackage + +module t (/*AUTOARG*/); + + Pkg::Cls c12; + Pkg::Cls #(.PBASE(4)) c4; + Pkg::Cls8_t c8; + Pkg::Wrap #(.P(16)) w16; + initial begin + c12 = new; + c4 = new; + c8 = new; + w16 = new; + if (Pkg::Cls#()::PBASE != 12) $stop; + if (Pkg::Cls#(4)::PBASE != 4) $stop; + if (Pkg::Cls8_t::PBASE != 8) $stop; + + if (Pkg::Cls#()::E_PBASE != 12) $stop; + if (Pkg::Cls#(4)::E_PBASE != 4) $stop; + if (Pkg::Cls8_t::E_PBASE != 8) $stop; + + if (c12.PBASE != 12) $stop; + if (c4.PBASE != 4) $stop; + if (c8.PBASE != 8) $stop; + + if (Pkg::Cls#()::get_p() != 12) $stop; + if (Pkg::Cls#(4)::get_p() != 4) $stop; + if (Pkg::Cls8_t::get_p() != 8) $stop; + + if (c12.get_p() != 12) $stop; + if (c4.get_p() != 4) $stop; + if (c8.get_p() != 8) $stop; + if (w16.get_p() != 16) $stop; + + // verilator lint_off WIDTH + c12.member = 32'haaaaaaaa; + c4.member = 32'haaaaaaaa; + c8.member = 32'haaaaaaaa; + // verilator lint_on WIDTH + if (c12.member != 12'haaa) $stop; + if (c4.member != 4'ha) $stop; + if (c12.get_member() != 12'haaa) $stop; + if (c4.get_member() != 4'ha) $stop; + `checks($sformatf("%p", c12), "'{member:'haaa}"); + `checks($sformatf("%p", c4), "'{member:'ha}"); + + $write("*-* All Finished *-*\n"); + $finish; + end +endmodule