forked from github/verilator
When showing an error, show source code.
This commit is contained in:
parent
a4820fc700
commit
97561bf064
2
Changes
2
Changes
@ -4,7 +4,7 @@ The contributors that suggested a given feature are shown in []. Thanks!
|
||||
|
||||
* Verilator 4.017 devel
|
||||
|
||||
** Offer suggestions on bad identifier errors.
|
||||
** When showing an error, show source code and offer suggestions of replacements.
|
||||
|
||||
*** Change MULTITOP to warning to help linting, see manual.
|
||||
|
||||
|
@ -1024,8 +1024,12 @@ void AstNode::dumpPtrs(std::ostream& os) const {
|
||||
}
|
||||
|
||||
void AstNode::dumpTree(std::ostream& os, const string& indent, int maxDepth) {
|
||||
static int s_debugFileline = v3Global.opt.debugSrcLevel("fileline"); // --debugi-fileline 9
|
||||
os<<indent<<" "<<this<<endl;
|
||||
if (debug()>8) { os<<indent<<" "; dumpPtrs(os); }
|
||||
if (s_debugFileline >= 9) {
|
||||
os<<fileline()->warnContextSecondary();
|
||||
}
|
||||
if (maxDepth==1) {
|
||||
if (op1p()||op2p()||op3p()||op4p()) { os<<indent<<"1: ...(maxDepth)"<<endl; }
|
||||
} else {
|
||||
|
@ -832,7 +832,7 @@ void AstNode::dump(std::ostream& str) {
|
||||
//<<" "<<cvtToHex(this)->m_backp
|
||||
<<" <e"<<std::dec<<editCount()
|
||||
<<((editCount()>=editCountLast())?"#>":">")
|
||||
<<" {"<<fileline()->filenameLetters()<<std::dec<<fileline()->lineno()<<"}";
|
||||
<<" {"<<fileline()->filenameLetters()<<std::dec<<fileline()->lastLineno()<<"}";
|
||||
if (user1p()) str<<" u1="<<cvtToHex(user1p());
|
||||
if (user2p()) str<<" u2="<<cvtToHex(user2p());
|
||||
if (user3p()) str<<" u3="<<cvtToHex(user3p());
|
||||
|
@ -119,7 +119,7 @@ public:
|
||||
}
|
||||
inline void applyIgnores(FileLine* filelinep) {
|
||||
// HOT routine, called each parsed token line
|
||||
if (m_lastLineno != filelinep->lineno()
|
||||
if (m_lastLineno != filelinep->lastLineno()
|
||||
|| m_lastFilename != filelinep->filename()) {
|
||||
//UINFO(9," ApplyIgnores for "<<filelinep->ascii()<<endl);
|
||||
if (VL_UNLIKELY(m_lastFilename != filelinep->filename())) {
|
||||
@ -127,7 +127,7 @@ public:
|
||||
m_lastFilename = filelinep->filename();
|
||||
}
|
||||
// Process all on/offs for lines up to and including the current line
|
||||
int curlineno = filelinep->lineno();
|
||||
int curlineno = filelinep->lastLineno();
|
||||
for (; m_lastIt != m_lastEnd; ++m_lastIt) {
|
||||
if (m_lastIt->m_lineno > curlineno) break;
|
||||
//UINFO(9," Hit "<<*m_lastIt<<endl);
|
||||
@ -138,7 +138,7 @@ public:
|
||||
UINFO(9," NXT "<<*it<<endl);
|
||||
}
|
||||
}
|
||||
m_lastLineno = filelinep->lineno();
|
||||
m_lastLineno = filelinep->lastLineno();
|
||||
}
|
||||
}
|
||||
};
|
||||
|
@ -23,6 +23,7 @@
|
||||
|
||||
#include "V3Error.h"
|
||||
#include "V3FileLine.h"
|
||||
#include "V3String.h"
|
||||
#ifndef _V3ERROR_NO_GLOBAL_
|
||||
# include "V3Ast.h"
|
||||
# include "V3Global.h"
|
||||
@ -31,6 +32,7 @@
|
||||
# include "V3File.h"
|
||||
#endif
|
||||
|
||||
#include <algorithm>
|
||||
#include <cstdarg>
|
||||
#include VL_INCLUDE_UNORDERED_SET
|
||||
|
||||
@ -80,13 +82,73 @@ void FileLineSingleton::fileNameNumMapDumpXml(std::ostream& os) {
|
||||
os<<"</files>\n";
|
||||
}
|
||||
|
||||
//######################################################################
|
||||
// VFileContents class functions
|
||||
|
||||
int VFileContent::debug() {
|
||||
static int level = -1;
|
||||
if (VL_UNLIKELY(level < 0)) level = v3Global.opt.debugSrcLevel(__FILE__);
|
||||
return level;
|
||||
}
|
||||
|
||||
void VFileContent::pushText(const string& text) {
|
||||
if (m_lines.size() == 0) {
|
||||
m_lines.push_back(""); // no such thing as line [0]
|
||||
m_lines.push_back(""); // start with no leftover
|
||||
}
|
||||
|
||||
// Any leftover text is stored on largest line (might be "")
|
||||
string leftover = m_lines.back() + text; m_lines.pop_back();
|
||||
|
||||
// Insert line-by-line
|
||||
string::size_type pos = 0;
|
||||
string::size_type line_start = 0;
|
||||
while (1) {
|
||||
string::size_type line_end = leftover.find("\n", line_start);
|
||||
if (line_end != string::npos) {
|
||||
string oneline (leftover, line_start, line_end-line_start+1);
|
||||
m_lines.push_back(oneline); // Keeps newline
|
||||
UINFO(9, "PushStream[ct"<<m_id<<"+"<<(m_lines.size()-1)<<"]: "<<oneline);
|
||||
line_start = line_end+1;
|
||||
} else {
|
||||
break;
|
||||
}
|
||||
}
|
||||
// Keep leftover for next time
|
||||
m_lines.push_back(string(leftover, line_start)); // Might be ""
|
||||
}
|
||||
|
||||
string VFileContent::getLine(int lineno) const {
|
||||
// Return error text rather than asserting so the user isn't left without a message
|
||||
if (VL_UNCOVERABLE(lineno < 0 || lineno >= (int)m_lines.size())) {
|
||||
if (debug() || v3Global.opt.debugCheck()) {
|
||||
return ("%Error-internal-contents-bad-ct"+cvtToStr(m_id)
|
||||
+"-ln"+cvtToStr(lineno));
|
||||
} else return "";
|
||||
}
|
||||
string text = m_lines[lineno];
|
||||
UINFO(9, "Get Stream[ct"<<m_id<<"+"<<lineno<<"]: "<<text);
|
||||
return text;
|
||||
}
|
||||
|
||||
std::ostream& operator<<(std::ostream& os, VFileContent* contentp) {
|
||||
if (!contentp) os <<"ct0";
|
||||
else os <<contentp->ascii();
|
||||
return os;
|
||||
}
|
||||
|
||||
//######################################################################
|
||||
// FileLine class functions
|
||||
|
||||
FileLine::FileLine(FileLine::EmptySecret) {
|
||||
// Sort of a singleton
|
||||
m_lineno = 0;
|
||||
m_firstLineno = 0;
|
||||
m_lastLineno = 0;
|
||||
m_firstColumn = 0;
|
||||
m_lastColumn = 0;
|
||||
m_filenameno = singleton().nameToNumber(FileLine::builtInFilename());
|
||||
m_contentp = NULL;
|
||||
m_contentLineno = 0;
|
||||
m_parent = NULL;
|
||||
|
||||
m_warnOn = 0;
|
||||
@ -97,13 +159,16 @@ FileLine::FileLine(FileLine::EmptySecret) {
|
||||
}
|
||||
|
||||
string FileLine::lineDirectiveStrg(int enterExit) const {
|
||||
char numbuf[20]; sprintf(numbuf, "%d", lineno());
|
||||
char numbuf[20]; sprintf(numbuf, "%d", lastLineno());
|
||||
char levelbuf[20]; sprintf(levelbuf, "%d", enterExit);
|
||||
return (string("`line ")+numbuf+" \""+filename()+"\" "+levelbuf+"\n");
|
||||
}
|
||||
|
||||
void FileLine::lineDirective(const char* textp, int& enterExitRef) {
|
||||
// Handle `line directive
|
||||
// Does not parse streamNumber/streamLineno as the next input token
|
||||
// will come from the same stream as the previous line.
|
||||
|
||||
// Skip `line
|
||||
while (*textp && isspace(*textp)) textp++;
|
||||
while (*textp && !isspace(*textp)) textp++;
|
||||
@ -134,6 +199,18 @@ void FileLine::lineDirective(const char* textp, int& enterExitRef) {
|
||||
//printf ("PPLINE %d '%s'\n", s_lineno, s_filename.c_str());
|
||||
}
|
||||
|
||||
void FileLine::forwardToken(const char* textp, size_t size, bool trackLines) {
|
||||
for (const char* sp = textp; size && *sp; ++sp, --size) {
|
||||
if (*sp == '\n') {
|
||||
if (trackLines) linenoInc();
|
||||
m_lastColumn = 1;
|
||||
} else if (*sp == '\r') {
|
||||
} else { // Tabs are considered one column; hence column means number of chars
|
||||
++m_lastColumn;
|
||||
}
|
||||
}
|
||||
}
|
||||
|
||||
FileLine* FileLine::copyOrSameFileLine() {
|
||||
// When a fileline is "used" to produce a node, calls this function.
|
||||
// Return this, or a copy of this
|
||||
@ -177,12 +254,19 @@ const string FileLine::profileFuncname() const {
|
||||
!= string::npos) {
|
||||
name.replace(pos, 1, "_");
|
||||
}
|
||||
name += "__l"+cvtToStr(lineno());
|
||||
name += "__l"+cvtToStr(lastLineno());
|
||||
return name;
|
||||
}
|
||||
|
||||
string FileLine::asciiLineCol() const {
|
||||
return (cvtToStr(firstLineno())+"-"+cvtToStr(lastLineno())
|
||||
+":"+cvtToStr(firstColumn())+"-"+cvtToStr(lastColumn())
|
||||
+"["+(m_contentp ? m_contentp->ascii() : "ct0")
|
||||
+"+"+cvtToStr(m_contentLineno)+"]");
|
||||
}
|
||||
string FileLine::ascii() const {
|
||||
return filename()+":"+cvtToStr(lineno());
|
||||
// For most errors especially in the parser the lastLineno is more accurate than firstLineno
|
||||
return filename()+":"+cvtToStr(lastLineno());
|
||||
}
|
||||
std::ostream& operator<<(std::ostream& os, FileLine* fileline) {
|
||||
os <<fileline->ascii()<<": "<<std::hex;
|
||||
@ -238,7 +322,7 @@ void FileLine::modifyStateInherit(const FileLine* fromp) {
|
||||
|
||||
void FileLine::v3errorEnd(std::ostringstream& str) {
|
||||
std::ostringstream nsstr;
|
||||
if (m_lineno) nsstr<<this;
|
||||
if (lastLineno()) nsstr<<this;
|
||||
nsstr<<str.str();
|
||||
nsstr<<endl;
|
||||
if (warnIsOff(V3Error::errorCode())) V3Error::suppressThisWarning();
|
||||
@ -247,24 +331,55 @@ void FileLine::v3errorEnd(std::ostringstream& str) {
|
||||
}
|
||||
|
||||
string FileLine::warnMore() const {
|
||||
if (m_lineno) {
|
||||
if (lastLineno()) {
|
||||
return V3Error::warnMore()+string(ascii().size(), ' ')+": ";
|
||||
} else {
|
||||
return V3Error::warnMore();
|
||||
}
|
||||
}
|
||||
string FileLine::warnOther() const {
|
||||
if (m_lineno) {
|
||||
if (lastLineno()) {
|
||||
return V3Error::warnMore()+ascii()+": ";
|
||||
} else {
|
||||
return V3Error::warnMore();
|
||||
}
|
||||
}
|
||||
|
||||
string FileLine::source() const {
|
||||
if (VL_UNCOVERABLE(!m_contentp)) {
|
||||
if (debug() || v3Global.opt.debugCheck()) return "%Error-internal-no-contents";
|
||||
else return "";
|
||||
}
|
||||
return m_contentp->getLine(m_contentLineno);
|
||||
}
|
||||
string FileLine::prettySource() const {
|
||||
string out = source();
|
||||
// Drop ignore trailing newline
|
||||
string::size_type pos = out.find('\n');
|
||||
if (pos != string::npos) out = string(out, 0, pos);
|
||||
// Column tracking counts tabs = 1, so match that when print source
|
||||
return VString::spaceUnprintable(out);
|
||||
}
|
||||
|
||||
string FileLine::warnContext(bool secondary) const {
|
||||
V3Error::errorContexted(true);
|
||||
string out = "";
|
||||
// TODO: Eventually print the original source code here
|
||||
if (firstLineno()==lastLineno() && firstColumn()) {
|
||||
string sourceLine = prettySource();
|
||||
// Don't show super-long lines as can fill screen and unlikely to help user
|
||||
if (!sourceLine.empty()
|
||||
&& sourceLine.length() < SHOW_SOURCE_MAX_LENGTH
|
||||
&& sourceLine.length() >= (lastColumn()-1)) {
|
||||
out += sourceLine+"\n";
|
||||
out += string((firstColumn()-1), ' ')+'^';
|
||||
// Can't use UASSERT_OBJ used in warnings already inside the error end handler
|
||||
UASSERT_STATIC(lastColumn() >= firstColumn(), "Column numbers backwards");
|
||||
if (lastColumn() != firstColumn()) {
|
||||
out += string((lastColumn()-firstColumn()-1), '~');
|
||||
}
|
||||
out += "\n";
|
||||
}
|
||||
}
|
||||
if (!secondary) { // Avoid printing long paths on informational part of error
|
||||
for (FileLine* parentFl = parent(); parentFl; parentFl = parentFl->parent()) {
|
||||
if (parentFl->filenameIsGlobal()) break;
|
||||
|
@ -54,7 +54,6 @@ class FileLineSingleton {
|
||||
~FileLineSingleton() { }
|
||||
protected:
|
||||
friend class FileLine;
|
||||
// METHODS
|
||||
int nameToNumber(const string& filename);
|
||||
const string numberToName(int filenameno) const { return m_names[filenameno]; }
|
||||
const V3LangCode numberToLang(int filenameno) const { return m_languages[filenameno]; }
|
||||
@ -64,15 +63,40 @@ protected:
|
||||
static const string filenameLetters(int fileno);
|
||||
};
|
||||
|
||||
//! All source lines from a file/stream, to enable errors to show sources
|
||||
class VFileContent {
|
||||
// MEMBERS
|
||||
int m_id; // Content ID number
|
||||
std::deque<string> m_lines; // Source text lines
|
||||
public:
|
||||
VFileContent() { static int s_id = 0; m_id = ++s_id; }
|
||||
~VFileContent() { }
|
||||
// METHODS
|
||||
void pushText(const string& text); // Add arbitrary text (need not be line-by-line)
|
||||
string getLine(int lineno) const;
|
||||
string ascii() const { return "ct"+cvtToStr(m_id); }
|
||||
static int debug();
|
||||
};
|
||||
std::ostream& operator<<(std::ostream& os, VFileContent* contentp);
|
||||
|
||||
//! File and line number of an object, mostly for error reporting
|
||||
|
||||
//! This class is instantiated for every source code line (potentially
|
||||
//! millions). To save space, per-file information (e.g. filename, source
|
||||
//! language is held in tables in the FileLineSingleton class.
|
||||
class FileLine {
|
||||
// CONSTANTS
|
||||
enum { SHOW_SOURCE_MAX_LENGTH = 400 }; // Don't show source lines > this long
|
||||
|
||||
// MEMBERS
|
||||
int m_lineno; // `line corrected line number
|
||||
// Columns here means number of chars from beginning (i.e. tabs count as one)
|
||||
int m_firstLineno; // `line corrected token's first line number
|
||||
int m_firstColumn; // `line corrected token's first column number
|
||||
int m_lastLineno; // `line corrected token's last line number
|
||||
int m_lastColumn; // `line corrected token's last column number
|
||||
int m_filenameno; // `line corrected filename number
|
||||
int m_contentLineno; // Line number within source stream
|
||||
VFileContent* m_contentp; // Source text contents line is within
|
||||
FileLine* m_parent; // Parent line that included this line
|
||||
std::bitset<V3ErrorCode::_ENUM_MAX> m_warnOn;
|
||||
|
||||
@ -96,20 +120,22 @@ private:
|
||||
}
|
||||
public:
|
||||
FileLine(const string& filename) {
|
||||
m_lineno = 0;
|
||||
m_filenameno = singleton().nameToNumber(filename);
|
||||
m_parent = NULL;
|
||||
m_warnOn = defaultFileLine().m_warnOn;
|
||||
}
|
||||
FileLine(const string& filename, int lineno) {
|
||||
m_lineno = lineno;
|
||||
m_lastLineno = m_firstLineno = 0;
|
||||
m_lastColumn = m_firstColumn = 0;
|
||||
m_filenameno = singleton().nameToNumber(filename);
|
||||
m_contentLineno = 0;
|
||||
m_contentp = NULL;
|
||||
m_parent = NULL;
|
||||
m_warnOn = defaultFileLine().m_warnOn;
|
||||
}
|
||||
explicit FileLine(FileLine* fromp) {
|
||||
m_lineno = fromp->m_lineno;
|
||||
m_firstLineno = fromp->m_firstLineno;
|
||||
m_firstColumn = fromp->m_firstColumn;
|
||||
m_lastLineno = fromp->m_lastLineno;
|
||||
m_lastColumn = fromp->m_lastColumn;
|
||||
m_filenameno = fromp->m_filenameno;
|
||||
m_contentLineno = fromp->m_contentLineno;
|
||||
m_contentp = fromp->m_contentp;
|
||||
m_parent = fromp->m_parent;
|
||||
m_warnOn = fromp->m_warnOn;
|
||||
}
|
||||
@ -122,18 +148,33 @@ public:
|
||||
static void* operator new(size_t size);
|
||||
static void operator delete(void* obj, size_t size);
|
||||
#endif
|
||||
void newContent() { m_contentp = new VFileContent; m_contentLineno = 1; }
|
||||
// METHODS
|
||||
void lineno(int num) { m_lineno = num; }
|
||||
void lineno(int num) { m_firstLineno = num; m_lastLineno = num;
|
||||
m_firstColumn = m_lastColumn = 1; }
|
||||
void language(V3LangCode lang) { singleton().numberToLang(m_filenameno, lang); }
|
||||
void filename(const string& name) { m_filenameno = singleton().nameToNumber(name); }
|
||||
void parent(FileLine* fileline) { m_parent = fileline; }
|
||||
void lineDirective(const char* textp, int& enterExitRef);
|
||||
void linenoInc() { m_lineno++; }
|
||||
|
||||
int lineno() const { return m_lineno; }
|
||||
void linenoInc() { m_lastLineno++; m_lastColumn = 1; m_contentLineno++; }
|
||||
void startToken() { m_firstLineno = m_lastLineno;
|
||||
m_firstColumn = m_lastColumn; }
|
||||
// Advance last line/column based on given text
|
||||
void forwardToken(const char* textp, size_t size, bool trackLines=true);
|
||||
int firstLineno() const { return m_firstLineno; }
|
||||
int firstColumn() const { return m_firstColumn; }
|
||||
int lastLineno() const { return m_lastLineno; }
|
||||
int lastColumn() const { return m_lastColumn; }
|
||||
VFileContent* contentp() const { return m_contentp; }
|
||||
// If not otherwise more specific, use last lineno for errors etc,
|
||||
// as the parser errors etc generally make more sense pointing at the last parse point
|
||||
int lineno() const { return m_lastLineno; }
|
||||
string source() const;
|
||||
string prettySource() const; // Source, w/stripped unprintables and newlines
|
||||
FileLine* parent() const { return m_parent; }
|
||||
V3LangCode language() const { return singleton().numberToLang(m_filenameno); }
|
||||
string ascii() const;
|
||||
string asciiLineCol() const;
|
||||
const string filename() const { return singleton().numberToName(m_filenameno); }
|
||||
bool filenameIsGlobal() const { return (filename() == commandLineFilename()
|
||||
|| filename() == builtInFilename()); }
|
||||
@ -141,7 +182,7 @@ public:
|
||||
const string filebasename() const;
|
||||
const string filebasenameNoExt() const;
|
||||
const string profileFuncname() const;
|
||||
const string xml() const { return "fl=\""+filenameLetters()+cvtToStr(lineno())+"\""; }
|
||||
const string xml() const { return "fl=\""+filenameLetters()+cvtToStr(lastLineno())+"\""; }
|
||||
string lineDirectiveStrg(int enterExit) const;
|
||||
|
||||
// Turn on/off warning messages on this line.
|
||||
@ -199,7 +240,10 @@ public:
|
||||
/// Simplified information vs warnContextPrimary() to make dump clearer
|
||||
string warnContextSecondary() const { return warnContext(true); }
|
||||
bool operator==(FileLine rhs) const {
|
||||
return (m_lineno == rhs.m_lineno
|
||||
return (m_firstLineno == rhs.m_firstLineno
|
||||
&& m_firstColumn == rhs.m_firstColumn
|
||||
&& m_lastLineno == rhs.m_lastLineno
|
||||
&& m_lastColumn == rhs.m_lastColumn
|
||||
&& m_filenameno == rhs.m_filenameno
|
||||
&& m_warnOn == rhs.m_warnOn);
|
||||
}
|
||||
|
@ -225,7 +225,9 @@ void V3ParseImp::parseFile(FileLine* fileline, const string& modfilename, bool i
|
||||
string modname = V3Os::filenameNonExt(modfilename);
|
||||
|
||||
UINFO(2,__FUNCTION__<<": "<<modname<<(inLibrary?" [LIB]":"")<<endl);
|
||||
VFileContent* contentp = new VFileContent;
|
||||
m_fileline = new FileLine(fileline);
|
||||
m_fileline->newContent();
|
||||
m_inLibrary = inLibrary;
|
||||
|
||||
// Preprocess into m_ppBuffer
|
||||
|
@ -160,7 +160,10 @@ public:
|
||||
int lastVerilogState() const { return m_lastVerilogState; }
|
||||
static const char* tokenName(int tok);
|
||||
|
||||
void ppPushText(const string& text) { m_ppBuffers.push_back(text); }
|
||||
void ppPushText(const string& text) {
|
||||
m_ppBuffers.push_back(text);
|
||||
if (fileline()->contentp()) fileline()->contentp()->pushText(text);
|
||||
}
|
||||
size_t ppInputToLex(char* buf, size_t max_size);
|
||||
|
||||
static V3ParseImp* parsep() { return s_parsep; }
|
||||
|
@ -66,6 +66,7 @@ void V3ParseImp::unputString(const char* textp, size_t length) {
|
||||
|
||||
int V3ParseImp::yylexReadTok() {
|
||||
// Call yylex() remembering last non-whitespace token
|
||||
parsep()->fileline()->startToken();
|
||||
int token = parsep()->m_lexerp->yylex();
|
||||
m_prevLexToken = token; // Save so can find '#' to parse following number
|
||||
return token;
|
||||
|
228
src/V3PreLex.l
228
src/V3PreLex.l
@ -40,6 +40,12 @@ char* yyourtext() { return yytext; }
|
||||
size_t yyourleng() { return yyleng; }
|
||||
void yyourtext(const char* textp, size_t size) { yytext=(char*)textp; yyleng=size; }
|
||||
|
||||
// FL_FWD only tracks columns; preproc uses linenoInc() to track lines, so
|
||||
// insertion of a \n does not mess up line count
|
||||
#define FL_FWDC { LEXP->curFilelinep()->forwardToken(yytext, yyleng, false); }
|
||||
// Use this to break between tokens whereever not return'ing a token (e.g. skipping inside lexer)
|
||||
#define FL_BRK { LEXP->curFilelinep()->startToken(); }
|
||||
|
||||
// Prevent conflicts from perl version
|
||||
static void linenoInc() {LEXP->linenoInc();}
|
||||
static bool pedantic() { return LEXP->m_pedantic; }
|
||||
@ -83,197 +89,210 @@ bom [\357\273\277]
|
||||
%%
|
||||
|
||||
<INITIAL>{bom} { }
|
||||
<INITIAL,STRIFY>^{ws}*"`line"{ws}+.*{crnl} { LEXP->lineDirective(yytext);
|
||||
<INITIAL,STRIFY>^{ws}*"`line"{ws}+.*{crnl} { FL_FWDC; LEXP->lineDirective(yytext);
|
||||
return VP_LINE; }
|
||||
|
||||
/* Special directives we recognize */
|
||||
<INITIAL>"`define" { return VP_DEFINE; }
|
||||
<INITIAL>"`else" { return VP_ELSE; }
|
||||
<INITIAL>"`elsif" { return VP_ELSIF; }
|
||||
<INITIAL>"`endif" { return VP_ENDIF; }
|
||||
<INITIAL>"`ifdef" { return VP_IFDEF; }
|
||||
<INITIAL>"`ifndef" { return VP_IFNDEF; }
|
||||
<INITIAL>"`include" { return VP_INCLUDE; }
|
||||
<INITIAL>"`undef" { return VP_UNDEF; }
|
||||
<INITIAL>"`undefineall" { return VP_UNDEFINEALL; }
|
||||
<INITIAL>"`error" { if (!pedantic()) return VP_ERROR; else return VP_DEFREF; }
|
||||
<INITIAL,STRIFY>"`__FILE__" { static string rtnfile;
|
||||
<INITIAL>"`define" { FL_FWDC; return VP_DEFINE; }
|
||||
<INITIAL>"`else" { FL_FWDC; return VP_ELSE; }
|
||||
<INITIAL>"`elsif" { FL_FWDC; return VP_ELSIF; }
|
||||
<INITIAL>"`endif" { FL_FWDC; return VP_ENDIF; }
|
||||
<INITIAL>"`ifdef" { FL_FWDC; return VP_IFDEF; }
|
||||
<INITIAL>"`ifndef" { FL_FWDC; return VP_IFNDEF; }
|
||||
<INITIAL>"`include" { FL_FWDC; return VP_INCLUDE; }
|
||||
<INITIAL>"`undef" { FL_FWDC; return VP_UNDEF; }
|
||||
<INITIAL>"`undefineall" { FL_FWDC; return VP_UNDEFINEALL; }
|
||||
<INITIAL>"`error" { FL_FWDC; if (!pedantic()) return VP_ERROR; else return VP_DEFREF; }
|
||||
<INITIAL,STRIFY>"`__FILE__" { FL_FWDC;
|
||||
static string rtnfile;
|
||||
rtnfile = '"'; rtnfile += LEXP->curFilelinep()->filename();
|
||||
rtnfile += '"'; yytext = (char*)rtnfile.c_str(); yyleng = rtnfile.length();
|
||||
return VP_STRING; }
|
||||
<INITIAL,STRIFY>"`__LINE__" { static char buf[10];
|
||||
sprintf(buf, "%d", LEXP->curFilelinep()->lineno());
|
||||
<INITIAL,STRIFY>"`__LINE__" { FL_FWDC;
|
||||
static char buf[10];
|
||||
sprintf(buf, "%d", LEXP->curFilelinep()->lastLineno());
|
||||
yytext = buf; yyleng = strlen(yytext);
|
||||
return VP_TEXT; }
|
||||
|
||||
/* Pass-through strings */
|
||||
<INITIAL>{quote} { yy_push_state(STRMODE); yymore(); }
|
||||
<STRMODE><<EOF>> { linenoInc(); yyerrorf("EOF in unterminated string"); yyleng=0; yyterminate(); }
|
||||
<STRMODE>{crnl} { linenoInc(); yyerrorf("Unterminated string"); BEGIN(INITIAL); }
|
||||
<STRMODE><<EOF>> { FL_FWDC; linenoInc(); yyerrorf("EOF in unterminated string");
|
||||
yyleng=0; yyterminate(); }
|
||||
<STRMODE>{crnl} { FL_FWDC; linenoInc(); yyerrorf("Unterminated string");
|
||||
FL_BRK; BEGIN(INITIAL); }
|
||||
<STRMODE>{word} { yymore(); }
|
||||
<STRMODE>[^\"\\] { yymore(); }
|
||||
<STRMODE>[\\]{crnl} { linenoInc(); yymore(); }
|
||||
<STRMODE>[\\]{wsn}+{crnl} { yyless(1); LEXP->warnBackslashSpace(); }
|
||||
<STRMODE>[\\]{wsn}+{crnl} { LEXP->warnBackslashSpace(); yyless(1); }
|
||||
<STRMODE>[\\]. { yymore(); }
|
||||
<STRMODE>{quote} { yy_pop_state();
|
||||
<STRMODE>{quote} { FL_FWDC; yy_pop_state();
|
||||
if (LEXP->m_parenLevel || LEXP->m_defQuote) {
|
||||
LEXP->m_defQuote=false; appendDefValue(yytext, yyleng); yyleng=0;
|
||||
LEXP->m_defQuote=false; appendDefValue(yytext, yyleng);
|
||||
yyleng=0; FL_BRK;
|
||||
} else return VP_STRING; }
|
||||
|
||||
/* Stringification */
|
||||
<INITIAL>{tickquote} { yy_push_state(STRIFY); return VP_STRIFY; }
|
||||
<STRIFY><<EOF>> { linenoInc(); yyerrorf("EOF in unterminated '\""); yyleng=0; yyterminate(); }
|
||||
<STRIFY>"`\\`\"" { return VP_BACKQUOTE; }
|
||||
<INITIAL>{tickquote} { FL_FWDC; yy_push_state(STRIFY); return VP_STRIFY; }
|
||||
<STRIFY><<EOF>> { FL_FWDC; linenoInc(); yyerrorf("EOF in unterminated '\"");
|
||||
yyleng=0; yyterminate(); }
|
||||
<STRIFY>"`\\`\"" { FL_FWDC; return VP_BACKQUOTE; }
|
||||
<STRIFY>{quote} { yy_push_state(STRMODE); yymore(); }
|
||||
<STRIFY>{tickquote} { yy_pop_state(); return VP_STRIFY; }
|
||||
<STRIFY>{symbdef} { return VP_SYMBOL; }
|
||||
<STRIFY>{symbdef}`` { yyleng-=2; return VP_SYMBOL_JOIN; }
|
||||
<STRIFY>"`"{symbdef} { return VP_DEFREF; }
|
||||
<STRIFY>"`"{symbdef}`` { yyleng-=2; return VP_DEFREF_JOIN; }
|
||||
<STRIFY>`` { yyleng-=2; return VP_JOIN; }
|
||||
<STRIFY>{crnl} { linenoInc(); yytext = (char*)"\n"; yyleng = 1; return VP_WHITE; }
|
||||
<STRIFY>{wsn}+ { return VP_WHITE; }
|
||||
<STRIFY>{drop} { }
|
||||
<STRIFY>[\r] { }
|
||||
<STRIFY>. { return VP_TEXT; }
|
||||
<STRIFY>{tickquote} { FL_FWDC; yy_pop_state(); return VP_STRIFY; }
|
||||
<STRIFY>{symbdef} { FL_FWDC; return VP_SYMBOL; }
|
||||
<STRIFY>{symbdef}`` { FL_FWDC; yyleng-=2; return VP_SYMBOL_JOIN; }
|
||||
<STRIFY>"`"{symbdef} { FL_FWDC; return VP_DEFREF; }
|
||||
<STRIFY>"`"{symbdef}`` { FL_FWDC; yyleng-=2; return VP_DEFREF_JOIN; }
|
||||
<STRIFY>`` { FL_FWDC; yyleng-=2; return VP_JOIN; }
|
||||
<STRIFY>{crnl} { FL_FWDC; linenoInc(); yytext = (char*)"\n"; yyleng = 1; return VP_WHITE; }
|
||||
<STRIFY>{wsn}+ { FL_FWDC; return VP_WHITE; }
|
||||
<STRIFY>{drop} { FL_FWDC; FL_BRK; }
|
||||
<STRIFY>[\r] { FL_FWDC; FL_BRK; }
|
||||
<STRIFY>. { FL_FWDC; return VP_TEXT; }
|
||||
|
||||
/* Protected blocks */
|
||||
<INITIAL>"`protected" { yy_push_state(PRTMODE); yymore(); }
|
||||
<PRTMODE><<EOF>> { linenoInc(); yyerrorf("EOF in `protected"); yyleng = 0; yyterminate(); }
|
||||
<PRTMODE>{crnl} { linenoInc(); return VP_TEXT; }
|
||||
<PRTMODE><<EOF>> { FL_FWDC; linenoInc(); yyerrorf("EOF in `protected");
|
||||
yyleng = 0; yyterminate(); }
|
||||
<PRTMODE>{crnl} { FL_FWDC; linenoInc(); return VP_TEXT; }
|
||||
<PRTMODE>. { yymore(); }
|
||||
<PRTMODE>"`endprotected" { yy_pop_state(); return VP_TEXT; }
|
||||
<PRTMODE>"`endprotected" { FL_FWDC; yy_pop_state(); return VP_TEXT; }
|
||||
|
||||
/* Pass-through include <> filenames */
|
||||
<INCMODE><<EOF>> { linenoInc(); yyerrorf("EOF in unterminated include filename"); yyleng = 0; yyterminate(); }
|
||||
<INCMODE>{crnl} { linenoInc(); yyerrorf("Unterminated include filename"); BEGIN(INITIAL); }
|
||||
<INCMODE><<EOF>> { FL_FWDC; linenoInc(); yyerrorf("EOF in unterminated include filename");
|
||||
yyleng = 0; yyterminate(); }
|
||||
<INCMODE>{crnl} { FL_FWDC; linenoInc(); yyerrorf("Unterminated include filename");
|
||||
FL_BRK; BEGIN(INITIAL); }
|
||||
<INCMODE>[^\>\\] { yymore(); }
|
||||
<INCMODE>[\\]. { yymore(); }
|
||||
<INCMODE>[\>] { yy_pop_state(); return VP_STRING; }
|
||||
<INCMODE>[\>] { FL_FWDC; yy_pop_state(); return VP_STRING; }
|
||||
|
||||
/* Reading definition formal parenthesis (or not) to begin formal arguments */
|
||||
/* Note '(' must IMMEDIATELY follow definition name */
|
||||
<DEFFPAR>[(] { appendDefValue("(", 1); LEXP->m_formalLevel=1; BEGIN(DEFFORM); }
|
||||
<DEFFPAR>{crnl} { yy_pop_state(); unput('\n'); yyleng=0; return VP_DEFFORM; } /* DEFVAL will later grab the return */
|
||||
<DEFFPAR><<EOF>> { yy_pop_state(); return VP_DEFFORM; } /* empty formals */
|
||||
<DEFFPAR>. { yy_pop_state(); unput(yytext[yyleng-1]); yyleng=0; return VP_DEFFORM; } /* empty formals */
|
||||
<DEFFPAR>[(] { FL_FWDC; appendDefValue("(", 1); LEXP->m_formalLevel=1;
|
||||
FL_BRK; BEGIN(DEFFORM); }
|
||||
<DEFFPAR>{crnl} { FL_FWDC; yy_pop_state(); unput('\n'); yyleng=0; return VP_DEFFORM; } /* DEFVAL will later grab the return */
|
||||
<DEFFPAR><<EOF>> { FL_FWDC; yy_pop_state(); return VP_DEFFORM; } /* empty formals */
|
||||
<DEFFPAR>. { FL_FWDC; yy_pop_state(); unput(yytext[yyleng-1]); yyleng=0; return VP_DEFFORM; } /* empty formals */
|
||||
|
||||
/* Reading definition formals (declaration of a define) */
|
||||
<DEFFORM>[(] { appendDefValue(yytext, yyleng); yyleng=0; ++LEXP->m_formalLevel; }
|
||||
<DEFFORM>[)] { appendDefValue(yytext, yyleng); yyleng=0;
|
||||
if ((--LEXP->m_formalLevel)==0) { yy_pop_state(); return VP_DEFFORM; } }
|
||||
<DEFFORM>[(] { FL_FWDC; appendDefValue(yytext, yyleng); FL_BRK; yyleng=0; ++LEXP->m_formalLevel; }
|
||||
<DEFFORM>[)] { FL_FWDC; appendDefValue(yytext, yyleng); yyleng=0;
|
||||
if ((--LEXP->m_formalLevel)==0) { yy_pop_state(); return VP_DEFFORM; }
|
||||
FL_BRK; }
|
||||
<DEFFORM>"/*" { yy_push_state(CMTMODE); yymore(); }
|
||||
<DEFFORM>"//"[^\n\r]* { return VP_COMMENT;}
|
||||
<DEFFORM>{drop} { }
|
||||
<DEFFORM><<EOF>> { linenoInc(); yy_pop_state(); yyerrorf("Unterminated ( in define formal arguments."); yyleng=0; return VP_DEFFORM; }
|
||||
<DEFFORM>{crnl} { linenoInc(); appendDefValue((char*)"\n", 1); } /* Include return so can maintain output line count */
|
||||
<DEFFORM>[\\]{wsn}+{crnl} { yyless(1); LEXP->warnBackslashSpace(); }
|
||||
<DEFFORM>[\\]{crnl} { linenoInc(); appendDefValue((char*)"\\\n", 2); } /* Include return so can maintain output line count */
|
||||
<DEFFORM>"//"[^\n\r]* { FL_FWDC; return VP_COMMENT;}
|
||||
<DEFFORM>{drop} { FL_FWDC; FL_BRK; }
|
||||
<DEFFORM><<EOF>> { FL_FWDC; linenoInc(); yy_pop_state(); yyerrorf("Unterminated ( in define formal arguments.");
|
||||
yyleng=0; return VP_DEFFORM; }
|
||||
<DEFFORM>{crnl} { FL_FWDC; linenoInc(); appendDefValue((char*)"\n", 1); FL_BRK; } /* Include return so can maintain output line count */
|
||||
<DEFFORM>[\\]{wsn}+{crnl} { LEXP->warnBackslashSpace(); yyless(1); }
|
||||
<DEFFORM>[\\]{crnl} { FL_FWDC; linenoInc(); appendDefValue((char*)"\\\n", 2); FL_BRK; } /* Include return so can maintain output line count */
|
||||
<DEFFORM>{quote} { LEXP->m_defQuote=true; yy_push_state(STRMODE); yymore(); } /* Legal only in default values */
|
||||
<DEFFORM>"`\\`\"" { appendDefValue(yytext, yyleng); } /* Maybe illegal, otherwise in default value */
|
||||
<DEFFORM>{tickquote} { appendDefValue(yytext, yyleng); } /* Maybe illegal, otherwise in default value */
|
||||
<DEFFORM>[{\[] { LEXP->m_formalLevel++; appendDefValue(yytext, yyleng); }
|
||||
<DEFFORM>[}\]] { LEXP->m_formalLevel--; appendDefValue(yytext, yyleng); }
|
||||
<DEFFORM>"`\\`\"" { FL_FWDC; appendDefValue(yytext, yyleng); FL_BRK; } /* Maybe illegal, otherwise in default value */
|
||||
<DEFFORM>{tickquote} { FL_FWDC; appendDefValue(yytext, yyleng); FL_BRK; } /* Maybe illegal, otherwise in default value */
|
||||
<DEFFORM>[{\[] { FL_FWDC; LEXP->m_formalLevel++; appendDefValue(yytext, yyleng); FL_BRK; }
|
||||
<DEFFORM>[}\]] { FL_FWDC; LEXP->m_formalLevel--; appendDefValue(yytext, yyleng); FL_BRK; }
|
||||
<DEFFORM>[^\/\*\n\r\\(){}\[\]\"]+ |
|
||||
<DEFFORM>[\\][^\n\r] |
|
||||
<DEFFORM>. { appendDefValue(yytext, yyleng); }
|
||||
<DEFFORM>. { FL_FWDC; appendDefValue(yytext, yyleng); FL_BRK; }
|
||||
|
||||
/* Reading definition value (declaration of a define's text) */
|
||||
<DEFVAL>"/*" { LEXP->m_defCmtSlash=false; yy_push_state(DEFCMT); yymore(); } /* Special comment parser */
|
||||
<DEFVAL>"//"[^\n\r]*[\\]{crnl} { linenoInc(); appendDefValue((char*)"\n", 1); } /* Spec says // not part of define value */
|
||||
<DEFVAL>"//"[^\n\r]* { return VP_COMMENT;}
|
||||
<DEFVAL>{drop} { }
|
||||
<DEFVAL><<EOF>> { linenoInc(); yy_pop_state(); yytext=(char*)"\n"; yyleng=1; return VP_DEFVALUE; } /* Technically illegal, but people complained */
|
||||
<DEFVAL>{crnl} { linenoInc(); yy_pop_state(); yytext=(char*)"\n"; yyleng=1; return VP_DEFVALUE; }
|
||||
<DEFVAL>[\\]{wsn}+{crnl} { yyless(1); LEXP->warnBackslashSpace(); }
|
||||
<DEFVAL>[\\]{crnl} { linenoInc(); appendDefValue((char*)"\\\n", 2); } /* Return, AND \ is part of define value */
|
||||
<DEFVAL>"//"[^\n\r]*[\\]{crnl} { FL_FWDC; linenoInc(); appendDefValue((char*)"\n", 1); FL_BRK; } /* Spec says // not part of define value */
|
||||
<DEFVAL>"//"[^\n\r]* { FL_FWDC; return VP_COMMENT;}
|
||||
<DEFVAL>{drop} { FL_FWDC; FL_BRK; }
|
||||
<DEFVAL><<EOF>> { FL_FWDC; linenoInc(); yy_pop_state(); yytext=(char*)"\n"; yyleng=1; return VP_DEFVALUE; } /* Technically illegal, but people complained */
|
||||
<DEFVAL>{crnl} { FL_FWDC; linenoInc(); yy_pop_state(); yytext=(char*)"\n"; yyleng=1; return VP_DEFVALUE; }
|
||||
<DEFVAL>[\\]{wsn}+{crnl} { LEXP->warnBackslashSpace(); yyless(1); }
|
||||
<DEFVAL>[\\]{crnl} { FL_FWDC; linenoInc(); appendDefValue((char*)"\\\n", 2); FL_BRK; } /* Return, AND \ is part of define value */
|
||||
<DEFVAL>{quote} { LEXP->m_defQuote = true; yy_push_state(STRMODE); yymore(); }
|
||||
<DEFVAL>[^\/\*\n\r\\\"]+ |
|
||||
<DEFVAL>[\\][^\n\r] |
|
||||
<DEFVAL>. { appendDefValue(yytext, yyleng); }
|
||||
<DEFVAL>. { FL_FWDC; appendDefValue(yytext, yyleng); FL_BRK; }
|
||||
|
||||
/* Comments inside define values - if embedded get added to define value per spec */
|
||||
/* - if no \{crnl} ending then the comment belongs to the next line, as a non-embedded comment */
|
||||
/* - if all but (say) 3rd line is missing \ then it's indeterminate */
|
||||
<DEFCMT>"*/" { yy_pop_state(); appendDefValue(yytext, yyleng); }
|
||||
<DEFCMT>[\\]{wsn}+{crnl} { yyless(1); LEXP->warnBackslashSpace(); }
|
||||
<DEFCMT>[\\]{crnl} { linenoInc(); LEXP->m_defCmtSlash=true;
|
||||
appendDefValue(yytext, yyleng-2); appendDefValue((char*)"\n", 1); } /* Return but not \ */
|
||||
<DEFCMT>"*/" { FL_FWDC; yy_pop_state(); appendDefValue(yytext, yyleng); FL_BRK; }
|
||||
<DEFCMT>[\\]{wsn}+{crnl} { LEXP->warnBackslashSpace(); yyless(1); }
|
||||
<DEFCMT>[\\]{crnl} { FL_FWDC; linenoInc(); LEXP->m_defCmtSlash=true;
|
||||
appendDefValue(yytext, yyleng-2); appendDefValue((char*)"\n", 1); /* Return but not \ */
|
||||
FL_BRK; }
|
||||
<DEFCMT>{crnl} { linenoInc(); yymore(); if (LEXP->m_defCmtSlash) yyerrorf("One line of /* ... */ is missing \\ before newline");
|
||||
BEGIN(CMTMODE); }
|
||||
<DEFCMT>{word} { yymore(); }
|
||||
<DEFCMT>. { yymore(); }
|
||||
<DEFCMT><<EOF>> { yyerrorf("EOF in '/* ... */' block comment\n"); yyleng=0; yyterminate(); }
|
||||
<DEFCMT><<EOF>> { FL_FWDC; yyerrorf("EOF in '/* ... */' block comment\n"); yyleng=0; yyterminate(); }
|
||||
|
||||
/* Define arguments (use of a define) */
|
||||
<ARGMODE>"/*" { yy_push_state(CMTMODE); yymore(); }
|
||||
<ARGMODE>"//"[^\n\r]* { return VP_COMMENT;}
|
||||
<ARGMODE>{drop} { }
|
||||
<ARGMODE><<EOF>> { yyerrorf("EOF in define argument list\n"); yyleng = 0; yyterminate(); }
|
||||
<ARGMODE>{crnl} { linenoInc(); yytext=(char*)"\n"; yyleng=1; return VP_WHITE; }
|
||||
<ARGMODE>"//"[^\n\r]* { FL_FWDC; return VP_COMMENT; }
|
||||
<ARGMODE>{drop} { FL_FWDC; FL_BRK; }
|
||||
<ARGMODE><<EOF>> { FL_FWDC; yyerrorf("EOF in define argument list\n"); yyleng = 0; yyterminate(); }
|
||||
<ARGMODE>{crnl} { FL_FWDC; linenoInc(); yytext=(char*)"\n"; yyleng=1; return VP_WHITE; }
|
||||
<ARGMODE>{quote} { yy_push_state(STRMODE); yymore(); }
|
||||
<ARGMODE>"`\\`\"" { appendDefValue(yytext, yyleng); } /* Literal text */
|
||||
<ARGMODE>{tickquote} { yy_push_state(STRIFY); return VP_STRIFY; }
|
||||
<ARGMODE>[{\[] { LEXP->m_parenLevel++; appendDefValue(yytext, yyleng); }
|
||||
<ARGMODE>[}\]] { LEXP->m_parenLevel--; appendDefValue(yytext, yyleng); }
|
||||
<ARGMODE>[(] { LEXP->m_parenLevel++;
|
||||
<ARGMODE>"`\\`\"" { FL_FWDC; appendDefValue(yytext, yyleng); FL_BRK; } /* Literal text */
|
||||
<ARGMODE>{tickquote} { FL_FWDC; yy_push_state(STRIFY); return VP_STRIFY; }
|
||||
<ARGMODE>[{\[] { FL_FWDC; LEXP->m_parenLevel++; appendDefValue(yytext, yyleng); FL_BRK; }
|
||||
<ARGMODE>[}\]] { FL_FWDC; LEXP->m_parenLevel--; appendDefValue(yytext, yyleng); FL_BRK; }
|
||||
<ARGMODE>[(] { FL_FWDC; LEXP->m_parenLevel++;
|
||||
// Note paren level 0 means before "(" of starting args
|
||||
// Level 1 means "," between arguments
|
||||
// Level 2+ means one inside the () of an argument
|
||||
if (LEXP->m_parenLevel>1) {
|
||||
appendDefValue(yytext, yyleng);
|
||||
appendDefValue(yytext, yyleng); FL_BRK;
|
||||
} else {
|
||||
return VP_TEXT;
|
||||
}}
|
||||
<ARGMODE>[)] { LEXP->m_parenLevel--;
|
||||
<ARGMODE>[)] { FL_FWDC; LEXP->m_parenLevel--;
|
||||
if (LEXP->m_parenLevel>0) {
|
||||
appendDefValue(yytext, yyleng);
|
||||
appendDefValue(yytext, yyleng); FL_BRK;
|
||||
} else {
|
||||
yy_pop_state(); return VP_DEFARG;
|
||||
}}
|
||||
<ARGMODE>[,] { if (LEXP->m_parenLevel>1) {
|
||||
appendDefValue(yytext, yyleng);
|
||||
<ARGMODE>[,] { FL_FWDC; if (LEXP->m_parenLevel>1) {
|
||||
appendDefValue(yytext, yyleng); FL_BRK;
|
||||
} else {
|
||||
yy_pop_state(); return VP_DEFARG;
|
||||
}}
|
||||
<ARGMODE>"`"{symbdef} { appendDefValue(yytext, yyleng); } /* defref in defref - outer macro expands first */
|
||||
<ARGMODE>"`"{symbdef}`` { appendDefValue(yytext, yyleng); } /* defref in defref - outer macro expands first */
|
||||
<ARGMODE>`` { appendDefValue(yytext, yyleng); } /* defref in defref - outer macro expands first */
|
||||
<ARGMODE>"`"{symbdef} { FL_FWDC; appendDefValue(yytext, yyleng); FL_BRK; } /* defref in defref - outer macro expands first */
|
||||
<ARGMODE>"`"{symbdef}`` { FL_FWDC; appendDefValue(yytext, yyleng); FL_BRK; } /* defref in defref - outer macro expands first */
|
||||
<ARGMODE>`` { FL_FWDC; appendDefValue(yytext, yyleng); FL_BRK; } /* defref in defref - outer macro expands first */
|
||||
<ARGMODE>[^\/\*\n\r\\(,){}\[\]\"`]+ |
|
||||
<ARGMODE>. { appendDefValue(yytext, yyleng); }
|
||||
<ARGMODE>. { FL_FWDC; appendDefValue(yytext, yyleng); FL_BRK; }
|
||||
|
||||
/* One line comments. */
|
||||
<INITIAL>"//"{ws}*{crnl} { linenoInc(); yytext=(char*)"\n"; yyleng=1; return VP_WHITE; }
|
||||
<INITIAL>"//"{ws}*{crnl} { FL_FWDC; linenoInc(); yytext=(char*)"\n"; yyleng=1; return VP_WHITE; }
|
||||
<INITIAL>"//" { yy_push_state(CMTONEM); yymore(); }
|
||||
<CMTONEM>[^\n\r]* { yy_pop_state(); return VP_COMMENT; }
|
||||
<CMTONEM>[^\n\r]* { FL_FWDC; yy_pop_state(); return VP_COMMENT; }
|
||||
|
||||
/* C-style comments. */
|
||||
/**** See also DEFCMT */
|
||||
/* We distinguish between the start of a comment, and later, to look for prefix comments (deprecated) */
|
||||
<INITIAL>"/*" { yy_push_state(CMTMODE); yymore(); }
|
||||
<CMTBEGM>{ws}+ { yymore(); }
|
||||
<CMTBEGM,CMTMODE>"*/" { yy_pop_state(); return VP_COMMENT; }
|
||||
<CMTBEGM,CMTMODE>"*/" { FL_FWDC; yy_pop_state(); return VP_COMMENT; }
|
||||
<CMTBEGM,CMTMODE>{crnl} { linenoInc(); yymore(); }
|
||||
<CMTBEGM,CMTMODE><<EOF>> { yyerrorf("EOF in '/* ... */' block comment\n"); yyleng=0; yyterminate(); }
|
||||
<CMTBEGM,CMTMODE><<EOF>> { FL_FWDC; yyerrorf("EOF in '/* ... */' block comment\n"); yyleng=0; yyterminate(); }
|
||||
<CMTMODE>{word} { yymore(); }
|
||||
<CMTBEGM>. { BEGIN CMTMODE; yymore(); } /* beginning in comment */
|
||||
<CMTBEGM>. { yymore(); BEGIN CMTMODE; } /* beginning in comment */
|
||||
<CMTMODE>. { yymore(); }
|
||||
|
||||
/* Define calls */
|
||||
/* symbdef prevents normal lex rules from making `\`"foo a symbol {`"foo} instead of a BACKQUOTE */
|
||||
<INITIAL>"`"{symbdef} { return VP_DEFREF; }
|
||||
<INITIAL>"`"{symbdef}`` { yyleng-=2; return VP_DEFREF_JOIN; }
|
||||
<INITIAL>"`"{symbdef} { FL_FWDC; return VP_DEFREF; }
|
||||
<INITIAL>"`"{symbdef}`` { FL_FWDC; yyleng-=2; return VP_DEFREF_JOIN; }
|
||||
|
||||
/* Generics */
|
||||
<INITIAL>{crnl} { linenoInc(); yytext=(char*)"\n"; yyleng=1; return VP_WHITE; }
|
||||
<INITIAL><<EOF>> { yyterminate(); } /* A "normal" EOF */
|
||||
<INITIAL>{symb} { return VP_SYMBOL; }
|
||||
<INITIAL>{symb}`` { yyleng-=2; return VP_SYMBOL_JOIN; }
|
||||
<INITIAL>`` { yyleng-=2; return VP_JOIN; }
|
||||
<INITIAL>{wsn}+ { return VP_WHITE; }
|
||||
<INITIAL>{drop} { }
|
||||
<INITIAL>[\r] { }
|
||||
<INITIAL>. { return VP_TEXT; }
|
||||
<INITIAL>{crnl} { FL_FWDC; linenoInc(); yytext=(char*)"\n"; yyleng=1; return VP_WHITE; }
|
||||
<INITIAL><<EOF>> { FL_FWDC; yyterminate(); } /* A "normal" EOF */
|
||||
<INITIAL>{symb} { FL_FWDC; return VP_SYMBOL; }
|
||||
<INITIAL>{symb}`` { FL_FWDC; yyleng-=2; return VP_SYMBOL_JOIN; }
|
||||
<INITIAL>`` { FL_FWDC; yyleng-=2; return VP_JOIN; }
|
||||
<INITIAL>{wsn}+ { FL_FWDC; return VP_WHITE; }
|
||||
<INITIAL>{drop} { FL_FWDC; FL_BRK; }
|
||||
<INITIAL>[\r] { FL_FWDC; FL_BRK; }
|
||||
<INITIAL>. { FL_FWDC; return VP_TEXT; }
|
||||
%%
|
||||
|
||||
void V3PreLex::pushStateDefArg(int level) {
|
||||
@ -509,6 +528,7 @@ void V3PreLex::lineDirective(const char* textp) {
|
||||
}
|
||||
|
||||
void V3PreLex::warnBackslashSpace() {
|
||||
// Make fileline highlight the specific backslash and space
|
||||
curFilelinep()->v3warn(BSSPACE, "Backslash followed by whitespace, perhaps the whitespace is accidental?");
|
||||
}
|
||||
|
||||
|
@ -267,7 +267,8 @@ public:
|
||||
void configure(FileLine* filelinep) {
|
||||
// configure() separate from constructor to avoid calling abstract functions
|
||||
m_preprocp = this; // Silly, but to make code more similar to Verilog-Perl
|
||||
m_finFilelinep = new FileLine(filelinep->filename(), 1);
|
||||
m_finFilelinep = new FileLine(filelinep->filename());
|
||||
m_finFilelinep->lineno(1);
|
||||
// Create lexer
|
||||
m_lexp = new V3PreLex(this, filelinep);
|
||||
m_lexp->m_keepComments = m_preprocp->keepComments();
|
||||
@ -768,8 +769,16 @@ void V3PreProcImp::openFile(FileLine* fl, V3InFilter* filterp, const string& fil
|
||||
addLineComment(0);
|
||||
}
|
||||
|
||||
// Save file contents for future error reporting
|
||||
FileLine* flsp = new FileLine(filename);
|
||||
flsp->lineno(1);
|
||||
flsp->newContent();
|
||||
for (StrList::iterator it=wholefile.begin(); it!=wholefile.end(); ++it) {
|
||||
flsp->contentp()->pushText(*it);
|
||||
}
|
||||
|
||||
// Create new stream structure
|
||||
m_lexp->scanNewFile(new FileLine(filename, 1));
|
||||
m_lexp->scanNewFile(flsp);
|
||||
addLineComment(1); // Enter
|
||||
|
||||
// Filter all DOS CR's en-mass. This avoids bugs with lexing CRs in the wrong places.
|
||||
@ -868,6 +877,7 @@ int V3PreProcImp::getRawToken() {
|
||||
if (isEof()) return (VP_EOF);
|
||||
|
||||
// Snarf next token from the file
|
||||
m_lexp->curFilelinep()->startToken();
|
||||
int tok = m_lexp->lex();
|
||||
|
||||
if (debug()>=5) debugToken(tok, "RAW");
|
||||
@ -883,16 +893,22 @@ int V3PreProcImp::getRawToken() {
|
||||
}
|
||||
|
||||
void V3PreProcImp::debugToken(int tok, const char* cmtp) {
|
||||
if (debug()>=5) {
|
||||
static int s_debugFileline = v3Global.opt.debugSrcLevel("fileline"); // --debugi-fileline 9
|
||||
if (debug() >= 5) {
|
||||
string buf = string(yyourtext(), yyourleng());
|
||||
string::size_type pos;
|
||||
while ((pos = buf.find('\n')) != string::npos) { buf.replace(pos, 1, "\\n"); }
|
||||
while ((pos = buf.find('\r')) != string::npos) { buf.replace(pos, 1, "\\r"); }
|
||||
fprintf(stderr, "%d: %s %s %s(%d) dr%d: <%d>%-10s: %s\n",
|
||||
m_lexp->m_tokFilelinep->lineno(), cmtp, m_off?"of":"on",
|
||||
string flcol = m_lexp->m_tokFilelinep->asciiLineCol();
|
||||
fprintf(stderr, "%s: %s %s %s(%d) dr%d: <%d>%-10s: %s\n",
|
||||
flcol.c_str(),
|
||||
cmtp, (m_off ? "of" : "on"),
|
||||
procStateName(state()), static_cast<int>(m_states.size()),
|
||||
static_cast<int>(m_defRefs.size()),
|
||||
m_lexp->currentStartState(), tokenName(tok), buf.c_str());
|
||||
if (s_debugFileline >= 9) {
|
||||
std::cerr<<m_lexp->m_tokFilelinep->warnContextSecondary()<<endl;
|
||||
}
|
||||
}
|
||||
}
|
||||
|
||||
@ -1449,8 +1465,10 @@ int V3PreProcImp::getFinalToken(string& buf) {
|
||||
buf = m_finBuf;
|
||||
if (0 && debug()>=5) {
|
||||
string bufcln = V3PreLex::cleanDbgStrg(buf);
|
||||
fprintf(stderr, "%d: FIN: %-10s: %s\n",
|
||||
m_lexp->m_tokFilelinep->lineno(), tokenName(tok), bufcln.c_str());
|
||||
string flcol = m_lexp->m_tokFilelinep->asciiLineCol();
|
||||
fprintf(stderr, "%s: FIN: %-10s: %s\n",
|
||||
flcol.c_str(),
|
||||
tokenName(tok), bufcln.c_str());
|
||||
}
|
||||
// Track `line
|
||||
const char* bufp = buf.c_str();
|
||||
@ -1462,14 +1480,17 @@ int V3PreProcImp::getFinalToken(string& buf) {
|
||||
else {
|
||||
if (m_finAtBol && !(tok==VP_TEXT && buf=="\n")
|
||||
&& m_preprocp->lineDirectives()) {
|
||||
if (int outBehind = m_lexp->m_tokFilelinep->lineno() - m_finFilelinep->lineno()) {
|
||||
if (int outBehind = (m_lexp->m_tokFilelinep->lastLineno()
|
||||
- m_finFilelinep->lastLineno())) {
|
||||
if (debug()>=5) {
|
||||
fprintf(stderr, "%d: FIN: readjust, fin at %d request at %d\n",
|
||||
m_lexp->m_tokFilelinep->lineno(),
|
||||
m_finFilelinep->lineno(), m_lexp->m_tokFilelinep->lineno());
|
||||
string flcol = m_lexp->m_tokFilelinep->asciiLineCol();
|
||||
fprintf(stderr, "%s: FIN: readjust, fin at %d request at %d\n",
|
||||
flcol.c_str(),
|
||||
m_finFilelinep->lastLineno(),
|
||||
m_lexp->m_tokFilelinep->lastLineno());
|
||||
}
|
||||
m_finFilelinep = new FileLine(m_lexp->m_tokFilelinep->filename(),
|
||||
m_lexp->m_tokFilelinep->lineno());
|
||||
m_finFilelinep->filename(m_lexp->m_tokFilelinep->filename());
|
||||
m_finFilelinep->lineno(m_lexp->m_tokFilelinep->lastLineno());
|
||||
if (outBehind > 0
|
||||
&& (outBehind <= static_cast<int>(V3PreProc::NEWLINES_VS_TICKLINE))) {
|
||||
// Output stream is behind, send newlines to get back in sync
|
||||
@ -1509,8 +1530,10 @@ string V3PreProcImp::getline() {
|
||||
int tok = getFinalToken(buf/*ref*/);
|
||||
if (debug()>=5) {
|
||||
string bufcln = V3PreLex::cleanDbgStrg(buf);
|
||||
fprintf(stderr, "%d: GETFETC: %-10s: %s\n",
|
||||
m_lexp->m_tokFilelinep->lineno(), tokenName(tok), bufcln.c_str());
|
||||
string flcol = m_lexp->m_tokFilelinep->asciiLineCol();
|
||||
fprintf(stderr, "%s: GETFETC: %-10s: %s\n",
|
||||
flcol.c_str(),
|
||||
tokenName(tok), bufcln.c_str());
|
||||
}
|
||||
if (tok==VP_EOF) {
|
||||
// Add a final newline, if the user forgot the final \n.
|
||||
@ -1530,8 +1553,10 @@ string V3PreProcImp::getline() {
|
||||
m_lineChars = m_lineChars.erase(0, len); // Remove returned characters
|
||||
if (debug()>=4) {
|
||||
string lncln = V3PreLex::cleanDbgStrg(theLine);
|
||||
fprintf(stderr, "%d: GETLINE: %s\n",
|
||||
m_lexp->m_tokFilelinep->lineno(), lncln.c_str());
|
||||
string flcol = m_lexp->m_tokFilelinep->asciiLineCol();
|
||||
fprintf(stderr, "%s: GETLINE: %s\n",
|
||||
flcol.c_str(),
|
||||
lncln.c_str());
|
||||
}
|
||||
return theLine;
|
||||
}
|
||||
|
@ -97,6 +97,15 @@ string VString::quotePercent(const string& str) {
|
||||
return out;
|
||||
}
|
||||
|
||||
string VString::spaceUnprintable(const string& str) {
|
||||
string out;
|
||||
for (string::const_iterator pos = str.begin(); pos != str.end(); ++pos) {
|
||||
if (isprint(*pos)) out += *pos;
|
||||
else out += ' ';
|
||||
}
|
||||
return out;
|
||||
}
|
||||
|
||||
//######################################################################
|
||||
// VHashSha1
|
||||
|
||||
|
@ -41,6 +41,9 @@ public:
|
||||
static string downcase(const string& str);
|
||||
// Replace any %'s with %%
|
||||
static string quotePercent(const string& str);
|
||||
// Replace any unprintable with space
|
||||
// This includes removing tabs, so column tracking is correct
|
||||
static string spaceUnprintable(const string& str);
|
||||
};
|
||||
|
||||
//######################################################################
|
||||
|
219
src/verilog.l
219
src/verilog.l
@ -41,19 +41,22 @@ extern void yyerrorf(const char* format, ...);
|
||||
|
||||
//======================================================================
|
||||
|
||||
#define NEXTLINE() {PARSEP->linenoInc();}
|
||||
#define LINECHECKS(textp,len) { const char* cp=textp; for (int n=len; n; --n) if (cp[n]=='\n') NEXTLINE(); }
|
||||
#define LINECHECK() LINECHECKS(yytext, yyleng)
|
||||
#define FL_FWD { PARSEP->fileline()->forwardToken(yytext, yyleng, true); }
|
||||
// Use this to break between tokens whereever not return'ing a token (e.g. skipping inside lexer)
|
||||
#define FL_BRK { PARSEP->fileline()->startToken(); }
|
||||
|
||||
#define CRELINE() (PARSEP->copyOrSameFileLine())
|
||||
|
||||
#define FL { yylval.fl = CRELINE(); }
|
||||
#define FL { FL_FWD; yylval.fl = CRELINE(); }
|
||||
|
||||
#define RETURN_BBOX_SYS_OR_MSG(msg,yytext) { \
|
||||
if (!v3Global.opt.bboxSys()) yyerrorf(msg, yytext); \
|
||||
if (!v3Global.opt.bboxSys()) { yyerrorf(msg, yytext); FL_BRK; } \
|
||||
return yaD_IGNORE; }
|
||||
|
||||
#define ERROR_RSVD_WORD(language) \
|
||||
yyerrorf("Unsupported: " language " reserved word not implemented: '%s'", yytext)
|
||||
do { FL_FWD; \
|
||||
yyerrorf("Unsupported: " language " reserved word not implemented: '%s'", yytext); \
|
||||
FL_BRK; } while(0)
|
||||
|
||||
// See V3Read.cpp
|
||||
//void V3ParseImp::statePop() { yy_pop_state(); }
|
||||
@ -129,8 +132,8 @@ vnum {vnum1}|{vnum2}|{vnum3}|{vnum4}|{vnum5}
|
||||
/************************************************************************/
|
||||
/* Verilator control files */
|
||||
<VLT>{
|
||||
{ws} { } /* otherwise ignore white-space */
|
||||
{crnl} { NEXTLINE(); } /* Count line numbers */
|
||||
{ws} { FL_FWD; FL_BRK; } /* otherwise ignore white-space */
|
||||
{crnl} { FL_FWD; FL_BRK; } /* Count line numbers */
|
||||
|
||||
"coverage_off" { FL; return yVLT_COVERAGE_OFF; }
|
||||
"coverage_on" { FL; return yVLT_COVERAGE_ON; }
|
||||
@ -147,10 +150,10 @@ vnum {vnum1}|{vnum2}|{vnum3}|{vnum4}|{vnum5}
|
||||
/************************************************************************/
|
||||
/* Verilog 1995 */
|
||||
<V95,V01,V05,VA5,S05,S09,S12,S17,SAX>{
|
||||
{ws} { } /* otherwise ignore white-space */
|
||||
{crnl} { NEXTLINE(); } /* Count line numbers */
|
||||
{ws} { FL_FWD; FL_BRK; } /* otherwise ignore white-space */
|
||||
{crnl} { FL_FWD; FL_BRK; } /* Count line numbers */
|
||||
/* Extensions to Verilog set, some specified by PSL */
|
||||
"$c"[0-9]* { FL; return yD_C; } /*Verilator only*/
|
||||
"$c"[0-9]* { FL; return yD_C; } /*Verilator only*/
|
||||
/* System Tasks */
|
||||
"$acos" { FL; return yD_ACOS; }
|
||||
"$acosh" { FL; return yD_ACOSH; }
|
||||
@ -241,7 +244,7 @@ vnum {vnum1}|{vnum2}|{vnum3}|{vnum4}|{vnum5}
|
||||
"endmodule" { FL; return yENDMODULE; }
|
||||
"endprimitive" { FL; return yENDPRIMITIVE; }
|
||||
"endspecify" { FL; return yENDSPECIFY; }
|
||||
"endtable" { yyerrorf("Syntax error: ENDTABLE outside of TABLE"); }
|
||||
"endtable" { FL_FWD; yyerrorf("Syntax error: ENDTABLE outside of TABLE"); FL_BRK; }
|
||||
"endtask" { FL; return yENDTASK; }
|
||||
"event" { FL; return yEVENT; }
|
||||
"for" { FL; return yFOR; }
|
||||
@ -298,7 +301,7 @@ vnum {vnum1}|{vnum2}|{vnum3}|{vnum4}|{vnum5}
|
||||
"strong1" { FL; return ygenSTRENGTH; }
|
||||
"supply0" { FL; return ySUPPLY0; }
|
||||
"supply1" { FL; return ySUPPLY1; }
|
||||
"table" { yy_push_state(TABLE); FL; return yTABLE; }
|
||||
"table" { FL; yy_push_state(TABLE); return yTABLE; }
|
||||
"task" { FL; return yTASK; }
|
||||
"time" { FL; return yTIME; }
|
||||
"tran" { FL; return yTRAN; }
|
||||
@ -359,7 +362,7 @@ vnum {vnum1}|{vnum2}|{vnum3}|{vnum4}|{vnum5}
|
||||
"design" { ERROR_RSVD_WORD("Verilog 2001-config"); }
|
||||
"endconfig" { ERROR_RSVD_WORD("Verilog 2001-config"); }
|
||||
"incdir" { ERROR_RSVD_WORD("Verilog 2001-config"); }
|
||||
"include" { yyerrorf("Unsupported: Verilog 2001-config reserved word not implemented; probably you want `include instead: %s", yytext); }
|
||||
"include" { FL_FWD; yyerrorf("Unsupported: Verilog 2001-config reserved word not implemented; suggest you want `include instead: %s", yytext); FL_BRK; }
|
||||
"instance" { ERROR_RSVD_WORD("Verilog 2001-config"); }
|
||||
"liblist" { ERROR_RSVD_WORD("Verilog 2001-config"); }
|
||||
"library" { ERROR_RSVD_WORD("Verilog 2001-config"); }
|
||||
@ -649,7 +652,7 @@ vnum {vnum1}|{vnum2}|{vnum3}|{vnum4}|{vnum5}
|
||||
|
||||
/* Converted from //{cmt}verilator ...{cmt} by preprocessor */
|
||||
<V95,V01,V05,VA5,S05,S09,S12,S17,SAX>{
|
||||
"/*verilator"{ws}*"*/" { } /* Ignore empty comments, may be `endif // verilator */
|
||||
"/*verilator"{ws}*"*/" { FL_FWD; FL_BRK; } /* Ignore empty comments, may be `endif // verilator */
|
||||
"/*verilator clock_enable*/" { FL; return yVL_CLOCK_ENABLE; }
|
||||
"/*verilator coverage_block_off*/" { FL; return yVL_COVERAGE_BLOCK_OFF; }
|
||||
"/*verilator full_case*/" { FL; return yVL_FULL_CASE; }
|
||||
@ -669,18 +672,18 @@ vnum {vnum1}|{vnum2}|{vnum3}|{vnum4}|{vnum5}
|
||||
"/*verilator sc_bv*/" { FL; return yVL_SC_BV; }
|
||||
"/*verilator sformat*/" { FL; return yVL_SFORMAT; }
|
||||
"/*verilator systemc_clock*/" { FL; return yVL_CLOCK; }
|
||||
"/*verilator tracing_off*/" {PARSEP->fileline()->tracingOn(false); }
|
||||
"/*verilator tracing_on*/" {PARSEP->fileline()->tracingOn(true); }
|
||||
"/*verilator coverage_off*/" {PARSEP->fileline()->coverageOn(false); }
|
||||
"/*verilator coverage_on*/" {PARSEP->fileline()->coverageOn(true); }
|
||||
"/*verilator lint_off"[^*]*"*/" {PARSEP->verilatorCmtLint(yytext, true); }
|
||||
"/*verilator lint_on"[^*]*"*/" {PARSEP->verilatorCmtLint(yytext, false); }
|
||||
"/*verilator lint_restore*/" {PARSEP->verilatorCmtLintRestore(); }
|
||||
"/*verilator lint_save*/" {PARSEP->verilatorCmtLintSave(); }
|
||||
"/*verilator tag"[^*]*"*/" {PARSEP->tag(yytext); }
|
||||
"/*verilator tracing_off*/" { FL_FWD; PARSEP->fileline()->tracingOn(false); FL_BRK; }
|
||||
"/*verilator tracing_on*/" { FL_FWD; PARSEP->fileline()->tracingOn(true); FL_BRK; }
|
||||
"/*verilator coverage_off*/" { FL_FWD; PARSEP->fileline()->coverageOn(false); FL_BRK; }
|
||||
"/*verilator coverage_on*/" { FL_FWD; PARSEP->fileline()->coverageOn(true); FL_BRK; }
|
||||
"/*verilator lint_off"[^*]*"*/" { FL_FWD; PARSEP->verilatorCmtLint(yytext, true); FL_BRK; }
|
||||
"/*verilator lint_on"[^*]*"*/" { FL_FWD; PARSEP->verilatorCmtLint(yytext, false); FL_BRK; }
|
||||
"/*verilator lint_restore*/" { FL_FWD; PARSEP->verilatorCmtLintRestore(); FL_BRK; }
|
||||
"/*verilator lint_save*/" { FL_FWD; PARSEP->verilatorCmtLintSave(); FL_BRK; }
|
||||
"/*verilator tag"[^*]*"*/" { FL_FWD; PARSEP->tag(yytext); FL_BRK; }
|
||||
|
||||
"/**/" { }
|
||||
"/*"[^*]+"*/" {PARSEP->verilatorCmtBad(yytext); }
|
||||
"/**/" { FL_FWD; FL_BRK; }
|
||||
"/*"[^*]+"*/" { FL_FWD; PARSEP->verilatorCmtBad(yytext); FL_BRK; }
|
||||
}
|
||||
|
||||
/************************************************************************/
|
||||
@ -806,23 +809,22 @@ vnum {vnum1}|{vnum2}|{vnum3}|{vnum4}|{vnum5}
|
||||
return yaSTRING;
|
||||
}
|
||||
\" { yy_push_state(STRING); yymore(); }
|
||||
|
||||
{vnum} {
|
||||
/* "# 1'b0" is a delay value so must lex as "#" "1" "'b0" */
|
||||
if (PARSEP->prevLexToken()=='#') {
|
||||
int shortlen = 0;
|
||||
while (isdigit(yytext[shortlen])) shortlen++;
|
||||
if (shortlen) {
|
||||
// Push rest for later parse
|
||||
// Push rest past numbers for later parse
|
||||
PARSEP->unputString(yytext+shortlen, yyleng-shortlen);
|
||||
FL; LINECHECKS(yytext, shortlen);
|
||||
// Return is stuff before the tick
|
||||
yytext[shortlen] = '\0';
|
||||
yylval.nump = PARSEP->newNumber(yylval.fl, (char*)yytext);
|
||||
yyleng = shortlen;
|
||||
yytext[yyleng] = '\0';
|
||||
FL; yylval.nump = PARSEP->newNumber(yylval.fl, (char*)yytext);
|
||||
return yaINTNUM;
|
||||
}
|
||||
}
|
||||
FL; LINECHECK(); yylval.nump = PARSEP->newNumber(yylval. fl, (char*)yytext);
|
||||
FL; yylval.nump = PARSEP->newNumber(yylval. fl, (char*)yytext);
|
||||
return yaINTNUM;
|
||||
}
|
||||
[0-9][_0-9]* {
|
||||
@ -845,9 +847,9 @@ vnum {vnum1}|{vnum2}|{vnum3}|{vnum4}|{vnum5}
|
||||
|
||||
/************************************************************************/
|
||||
/* STRINGS */
|
||||
<STRING><<EOF>> { yyerrorf("EOF in unterminated string"); yyleng = 0; yy_pop_state(); }
|
||||
<STRING>{crnl} { yyerrorf("Unterminated string"); NEXTLINE(); }
|
||||
<STRING>\\{crnl} { yymore(); NEXTLINE(); }
|
||||
<STRING><<EOF>> { FL_FWD; yyerrorf("EOF in unterminated string"); yyleng = 0; yy_pop_state(); FL_BRK; }
|
||||
<STRING>{crnl} { FL_FWD; yyerrorf("Unterminated string"); FL_BRK; }
|
||||
<STRING>\\{crnl} { yymore(); }
|
||||
<STRING>\\. { yymore(); }
|
||||
<STRING>\" { yy_pop_state();
|
||||
FL; yylval.strp = PARSEP->newString(yytext+1, yyleng-2);
|
||||
@ -857,12 +859,12 @@ vnum {vnum1}|{vnum2}|{vnum3}|{vnum4}|{vnum5}
|
||||
|
||||
/************************************************************************/
|
||||
/* Attributes */
|
||||
<ATTRMODE>{crnl} { yymore(); NEXTLINE(); }
|
||||
<ATTRMODE>"*)" { yy_pop_state(); }
|
||||
<ATTRMODE>{crnl} { yymore(); }
|
||||
<ATTRMODE>"*)" { FL_FWD; yy_pop_state(); FL_BRK; }
|
||||
<ATTRMODE>{word} { yymore(); }
|
||||
<ATTRMODE>. { yymore(); }
|
||||
<ATTRMODE><<EOF>> { yyerrorf("EOF in (*");
|
||||
yyleng = 0; yy_pop_state(); }
|
||||
<ATTRMODE><<EOF>> { FL_FWD; yyerrorf("EOF in (*");
|
||||
yyleng = 0; yy_pop_state(); FL_BRK; }
|
||||
|
||||
/************************************************************************/
|
||||
/* Attributes */
|
||||
@ -873,12 +875,12 @@ vnum {vnum1}|{vnum2}|{vnum3}|{vnum4}|{vnum5}
|
||||
|
||||
/************************************************************************/
|
||||
/* Tables */
|
||||
<TABLE>\\{crnl} { yymore(); NEXTLINE(); }
|
||||
<TABLE>{crnl} { NEXTLINE(); yymore(); }
|
||||
<TABLE>\\{crnl} { yymore(); }
|
||||
<TABLE>{crnl} { yymore(); }
|
||||
<TABLE>";" { FL; yylval.strp = PARSEP->newString(yytext, yyleng); return yaTABLELINE; }
|
||||
<TABLE>"endtable" { yy_pop_state(); FL; return yENDTABLE; }
|
||||
<TABLE>. { yymore(); }
|
||||
<TABLE><<EOF>> { yyerrorf("EOF in TABLE"); yyleng = 0; yy_pop_state(); }
|
||||
<TABLE><<EOF>> { FL_FWD; yyerrorf("EOF in TABLE"); yyleng = 0; yy_pop_state(); FL_BRK; }
|
||||
|
||||
/************************************************************************/
|
||||
/* Preprocessor */
|
||||
@ -886,91 +888,94 @@ vnum {vnum1}|{vnum2}|{vnum3}|{vnum4}|{vnum5}
|
||||
/* OPTIMIZE: we return one per line, make it one for the entire block */
|
||||
/* If add to this list also add to V3LanguageWords.h */
|
||||
<V95,V01,V05,VA5,S05,S09,S12,S17,SAX,VLT,SYSCHDR,SYSCINT,SYSCIMP,SYSCIMPH,SYSCCTOR,SYSCDTOR,IGNORE>{
|
||||
"`accelerate" { } // Verilog-XL compatibility
|
||||
"`autoexpand_vectornets" { } // Verilog-XL compatibility
|
||||
"`celldefine" { PARSEP->inCellDefine(true); }
|
||||
"`default_decay_time"{ws}+[^\n\r]* { } // Verilog spec - delays only
|
||||
"`default_nettype"{ws}+"wire" { PARSEP->fileline()->warnOn(V3ErrorCode::I_DEF_NETTYPE_WIRE, true); }
|
||||
"`default_nettype"{ws}+"none" { PARSEP->fileline()->warnOn(V3ErrorCode::I_DEF_NETTYPE_WIRE, false); }
|
||||
"`default_nettype"{ws}+[a-zA-Z0-9]* { yyerrorf("Unsupported: `default_nettype of other than none or wire: %s", yytext); }
|
||||
"`default_trireg_strength"{ws}+[^\n\r]* { yyerrorf("Unsupported: Verilog optional directive not implemented: %s", yytext); }
|
||||
"`delay_mode_distributed" { } // Verilog spec - delays only
|
||||
"`delay_mode_path" { } // Verilog spec - delays only
|
||||
"`delay_mode_unit" { } // Verilog spec - delays only
|
||||
"`delay_mode_zero" { } // Verilog spec - delays only
|
||||
"`disable_portfaults" { } // Verilog-XL compatibility
|
||||
"`enable_portfaults" { } // Verilog-XL compatibility
|
||||
"`endcelldefine" { PARSEP->inCellDefine(false); }
|
||||
"`endprotect" { }
|
||||
"`expand_vectornets" { } // Verilog-XL compatibility
|
||||
"`inline" { }
|
||||
"`line"{ws}+[^\n\r]*{crnl} { PARSEP->ppline(yytext); }
|
||||
"`noaccelerate" { } // Verilog-XL compatibility
|
||||
"`noexpand_vectornets" { } // Verilog-XL compatibility
|
||||
"`noremove_gatenames" { } // Verilog-XL compatibility
|
||||
"`noremove_netnames" { } // Verilog-XL compatibility
|
||||
"`nosuppress_faults" { } // Verilog-XL compatibility
|
||||
"`nounconnected_drive" { } // Verilog-XL compatibility
|
||||
"`portcoerce" { }
|
||||
"`pragma"{ws}+[^\n\r]* { } // Verilog 2005
|
||||
"`protect" { }
|
||||
"`remove_gatenames" { } // Verilog-XL compatibility
|
||||
"`remove_netnames" { } // Verilog-XL compatibility
|
||||
"`resetall" { PARSEP->fileline()->warnOn(V3ErrorCode::I_DEF_NETTYPE_WIRE, true); } // Rest handled by preproc
|
||||
"`suppress_faults" { } // Verilog-XL compatibility
|
||||
"`timescale"{ws}+[^\n\r]* { } // Verilog spec - not supported
|
||||
"`accelerate" { FL_FWD; FL_BRK; } // Verilog-XL compatibility
|
||||
"`autoexpand_vectornets" { FL_FWD; FL_BRK; } // Verilog-XL compatibility
|
||||
"`celldefine" { FL_FWD; PARSEP->inCellDefine(true); FL_BRK; }
|
||||
"`default_decay_time"{ws}+[^\n\r]* { FL_FWD; FL_BRK; } // Verilog spec - delays only
|
||||
"`default_nettype"{ws}+"wire" { FL_FWD; PARSEP->fileline()->warnOn(V3ErrorCode::I_DEF_NETTYPE_WIRE, true); FL_BRK; }
|
||||
"`default_nettype"{ws}+"none" { FL_FWD; PARSEP->fileline()->warnOn(V3ErrorCode::I_DEF_NETTYPE_WIRE, false); FL_BRK; }
|
||||
"`default_nettype"{ws}+[a-zA-Z0-9]* { FL_FWD; yyerrorf("Unsupported: `default_nettype of other than none or wire: %s", yytext); FL_BRK; }
|
||||
"`default_trireg_strength"{ws}+[^\n\r]* { FL_FWD; yyerrorf("Unsupported: Verilog optional directive not implemented: %s", yytext); FL_BRK; }
|
||||
"`delay_mode_distributed" { FL_FWD; FL_BRK; } // Verilog spec - delays only
|
||||
"`delay_mode_path" { FL_FWD; FL_BRK; } // Verilog spec - delays only
|
||||
"`delay_mode_unit" { FL_FWD; FL_BRK; } // Verilog spec - delays only
|
||||
"`delay_mode_zero" { FL_FWD; FL_BRK; } // Verilog spec - delays only
|
||||
"`disable_portfaults" { FL_FWD; FL_BRK; } // Verilog-XL compatibility
|
||||
"`enable_portfaults" { FL_FWD; FL_BRK; } // Verilog-XL compatibility
|
||||
"`endcelldefine" { FL_FWD; PARSEP->inCellDefine(false); FL_BRK; }
|
||||
"`endprotect" { FL_FWD; FL_BRK; }
|
||||
"`expand_vectornets" { FL_FWD; FL_BRK; } // Verilog-XL compatibility
|
||||
"`inline" { FL_FWD; FL_BRK; }
|
||||
"`line"{ws}+[^\n\r]*{crnl} { FL_FWD; PARSEP->ppline(yytext); FL_BRK; }
|
||||
"`noaccelerate" { FL_FWD; FL_BRK; } // Verilog-XL compatibility
|
||||
"`noexpand_vectornets" { FL_FWD; FL_BRK; } // Verilog-XL compatibility
|
||||
"`noremove_gatenames" { FL_FWD; FL_BRK; } // Verilog-XL compatibility
|
||||
"`noremove_netnames" { FL_FWD; FL_BRK; } // Verilog-XL compatibility
|
||||
"`nosuppress_faults" { FL_FWD; FL_BRK; } // Verilog-XL compatibility
|
||||
"`nounconnected_drive" { FL_FWD; FL_BRK; } // Verilog-XL compatibility
|
||||
"`portcoerce" { FL_FWD; FL_BRK; }
|
||||
"`pragma"{ws}+[^\n\r]* { FL_FWD; FL_BRK; } // Verilog 2005
|
||||
"`protect" { FL_FWD; FL_BRK; }
|
||||
"`remove_gatenames" { FL_FWD; FL_BRK; } // Verilog-XL compatibility
|
||||
"`remove_netnames" { FL_FWD; FL_BRK; } // Verilog-XL compatibility
|
||||
"`resetall" { FL_FWD; PARSEP->fileline()->warnOn(V3ErrorCode::I_DEF_NETTYPE_WIRE, true);
|
||||
FL_BRK; } // Rest handled by preproc
|
||||
"`suppress_faults" { FL_FWD; FL_BRK; } // Verilog-XL compatibility
|
||||
"`timescale"{ws}+[^\n\r]* { FL_FWD; FL_BRK; } // Verilog spec - not supported
|
||||
|
||||
/* See also setLanguage below */
|
||||
"`begin_keywords"[ \t]*\"1364-1995\" { yy_push_state(V95); PARSEP->pushBeginKeywords(YY_START); }
|
||||
"`begin_keywords"[ \t]*\"1364-2001\" { yy_push_state(V01); PARSEP->pushBeginKeywords(YY_START); }
|
||||
"`begin_keywords"[ \t]*\"1364-2001-noconfig\" { yy_push_state(V01); PARSEP->pushBeginKeywords(YY_START); }
|
||||
"`begin_keywords"[ \t]*\"1364-2005\" { yy_push_state(V05); PARSEP->pushBeginKeywords(YY_START); }
|
||||
"`begin_keywords"[ \t]*\"VAMS[-0-9.]*\" { yy_push_state(VA5); PARSEP->pushBeginKeywords(YY_START); }
|
||||
"`begin_keywords"[ \t]*\"1800-2005\" { yy_push_state(S05); PARSEP->pushBeginKeywords(YY_START); }
|
||||
"`begin_keywords"[ \t]*\"1800-2009\" { yy_push_state(S09); PARSEP->pushBeginKeywords(YY_START); }
|
||||
"`begin_keywords"[ \t]*\"1800-2012\" { yy_push_state(S12); PARSEP->pushBeginKeywords(YY_START); }
|
||||
"`begin_keywords"[ \t]*\"1800-2017\" { yy_push_state(S17); PARSEP->pushBeginKeywords(YY_START); }
|
||||
"`begin_keywords"[ \t]*\"1800[+]VAMS\" { yy_push_state(SAX); PARSEP->pushBeginKeywords(YY_START); } /*Latest SV*/
|
||||
"`end_keywords" { yy_pop_state(); if (!PARSEP->popBeginKeywords()) yyerrorf("`end_keywords when not inside `begin_keywords block"); }
|
||||
"`begin_keywords"[ \t]*\"1364-1995\" { FL_FWD; yy_push_state(V95); PARSEP->pushBeginKeywords(YY_START); FL_BRK; }
|
||||
"`begin_keywords"[ \t]*\"1364-2001\" { FL_FWD; yy_push_state(V01); PARSEP->pushBeginKeywords(YY_START); FL_BRK; }
|
||||
"`begin_keywords"[ \t]*\"1364-2001-noconfig\" { FL_FWD; yy_push_state(V01); PARSEP->pushBeginKeywords(YY_START); FL_BRK; }
|
||||
"`begin_keywords"[ \t]*\"1364-2005\" { FL_FWD; yy_push_state(V05); PARSEP->pushBeginKeywords(YY_START); FL_BRK; }
|
||||
"`begin_keywords"[ \t]*\"VAMS[-0-9.]*\" { FL_FWD; yy_push_state(VA5); PARSEP->pushBeginKeywords(YY_START); FL_BRK; }
|
||||
"`begin_keywords"[ \t]*\"1800-2005\" { FL_FWD; yy_push_state(S05); PARSEP->pushBeginKeywords(YY_START); FL_BRK; }
|
||||
"`begin_keywords"[ \t]*\"1800-2009\" { FL_FWD; yy_push_state(S09); PARSEP->pushBeginKeywords(YY_START); FL_BRK; }
|
||||
"`begin_keywords"[ \t]*\"1800-2012\" { FL_FWD; yy_push_state(S12); PARSEP->pushBeginKeywords(YY_START); FL_BRK; }
|
||||
"`begin_keywords"[ \t]*\"1800-2017\" { FL_FWD; yy_push_state(S17); PARSEP->pushBeginKeywords(YY_START); FL_BRK; }
|
||||
"`begin_keywords"[ \t]*\"1800[+]VAMS\" { FL_FWD; yy_push_state(SAX); PARSEP->pushBeginKeywords(YY_START); FL_BRK; } /*Latest SV*/
|
||||
"`end_keywords" { FL_FWD; yy_pop_state();
|
||||
if (!PARSEP->popBeginKeywords()) yyerrorf("`end_keywords when not inside `begin_keywords block");
|
||||
FL_BRK; }
|
||||
|
||||
/* Verilator */
|
||||
"`systemc_ctor" { BEGIN SYSCCTOR; }
|
||||
"`systemc_dtor" { BEGIN SYSCDTOR; }
|
||||
"`systemc_header" { BEGIN SYSCHDR; }
|
||||
"`systemc_imp_header" { BEGIN SYSCIMPH; }
|
||||
"`systemc_implementation" { BEGIN SYSCIMP; }
|
||||
"`systemc_interface" { BEGIN SYSCINT; }
|
||||
"`verilator_config" { BEGIN VLT; }
|
||||
"`verilog" { BEGIN PARSEP->lastVerilogState(); }
|
||||
"`systemc_ctor" { FL_FWD; BEGIN SYSCCTOR; FL_BRK; }
|
||||
"`systemc_dtor" { FL_FWD; BEGIN SYSCDTOR; FL_BRK; }
|
||||
"`systemc_header" { FL_FWD; BEGIN SYSCHDR; FL_BRK; }
|
||||
"`systemc_imp_header" { FL_FWD; BEGIN SYSCIMPH; FL_BRK; }
|
||||
"`systemc_implementation" { FL_FWD; BEGIN SYSCIMP; FL_BRK; }
|
||||
"`systemc_interface" { FL_FWD; BEGIN SYSCINT; FL_BRK; }
|
||||
"`verilator_config" { FL_FWD; BEGIN VLT; FL_BRK; }
|
||||
"`verilog" { FL_FWD; BEGIN PARSEP->lastVerilogState(); FL_BRK; }
|
||||
|
||||
/* If add to this list also add to V3LanguageWords.h */
|
||||
}
|
||||
|
||||
<SYSCHDR>[ \t]*[^` \t\n\r][^\n\r]*{crnl} { FL; NEXTLINE(); yylval.strp = PARSEP->newString(yytext); return yaSCHDR; }
|
||||
<SYSCINT>[ \t]*[^` \t\n\r][^\n\r]*{crnl} { FL; NEXTLINE(); yylval.strp = PARSEP->newString(yytext); return yaSCINT; }
|
||||
<SYSCIMP>[ \t]*[^` \t\n\r][^\n\r]*{crnl} { FL; NEXTLINE(); yylval.strp = PARSEP->newString(yytext); return yaSCIMP; }
|
||||
<SYSCIMPH>[ \t]*[^` \t\n\r][^\n\r]*{crnl} { FL; NEXTLINE(); yylval.strp = PARSEP->newString(yytext); return yaSCIMPH; }
|
||||
<SYSCCTOR>[ \t]*[^` \t\n\r][^\n\r]*{crnl} { FL; NEXTLINE(); yylval.strp = PARSEP->newString(yytext); return yaSCCTOR; }
|
||||
<SYSCDTOR>[ \t]*[^` \t\n\r][^\n\r]*{crnl} { FL; NEXTLINE(); yylval.strp = PARSEP->newString(yytext); return yaSCDTOR; }
|
||||
<IGNORE>[ \t]*[^` \t\n\r][^\n\r]*{crnl} { NEXTLINE(); }
|
||||
<SYSCHDR>[ \t]*[^` \t\n\r][^\n\r]*{crnl} { FL; yylval.strp = PARSEP->newString(yytext); return yaSCHDR; }
|
||||
<SYSCINT>[ \t]*[^` \t\n\r][^\n\r]*{crnl} { FL; yylval.strp = PARSEP->newString(yytext); return yaSCINT; }
|
||||
<SYSCIMP>[ \t]*[^` \t\n\r][^\n\r]*{crnl} { FL; yylval.strp = PARSEP->newString(yytext); return yaSCIMP; }
|
||||
<SYSCIMPH>[ \t]*[^` \t\n\r][^\n\r]*{crnl} { FL; yylval.strp = PARSEP->newString(yytext); return yaSCIMPH; }
|
||||
<SYSCCTOR>[ \t]*[^` \t\n\r][^\n\r]*{crnl} { FL; yylval.strp = PARSEP->newString(yytext); return yaSCCTOR; }
|
||||
<SYSCDTOR>[ \t]*[^` \t\n\r][^\n\r]*{crnl} { FL; yylval.strp = PARSEP->newString(yytext); return yaSCDTOR; }
|
||||
<IGNORE>[ \t]*[^` \t\n\r][^\n\r]*{crnl} { FL_FWD; FL_BRK; }
|
||||
|
||||
/* Pick up text-type data */
|
||||
<SYSCHDR,SYSCINT,SYSCIMP,SYSCIMPH,SYSCCTOR,SYSCDTOR,IGNORE>{
|
||||
{wsnr}* { yymore(); }
|
||||
{crnl} { NEXTLINE(); yymore(); }
|
||||
{crnl} { yymore(); }
|
||||
}
|
||||
|
||||
/************************************************************************/
|
||||
/* Default rules - leave last */
|
||||
|
||||
<V95,V01,V05,VA5,S05,S09,S12,S17,SAX,VLT>{
|
||||
"`"[a-zA-Z_0-9]+ { FL; PARSEP->errorPreprocDirective(yytext); }
|
||||
"//"[^\n]* { } /* throw away single line comments */
|
||||
"`"[a-zA-Z_0-9]+ { FL_FWD; PARSEP->errorPreprocDirective(yytext); FL_BRK; }
|
||||
"//"[^\n]* { FL_FWD; FL_BRK; } /* throw away single line comments */
|
||||
. { FL; return yytext[0]; } /* return single char ops. */
|
||||
}
|
||||
|
||||
/* Catch all - absolutely last */
|
||||
<*>.|\n { yyerrorf("Missing verilog.l rule: Default rule invoked in state %d: %s", YY_START, yytext); }
|
||||
<*>.|\n { FL_FWD; yyerrorf("Missing verilog.l rule: Default rule invoked in state %d: %s", YY_START, yytext); FL_BRK; }
|
||||
%%
|
||||
int V3ParseImp::stateVerilogRecent() { return STATE_VERILOG_RECENT; }
|
||||
|
||||
@ -1059,8 +1064,8 @@ int V3ParseImp::lexToBison() {
|
||||
m_curBisonVal = yylval;
|
||||
|
||||
//yylval.scp = NULL; // Symbol table not yet needed - no packages
|
||||
if (debugFlex()>=6 || debugBison()>=6) {
|
||||
cout<<" {"<<yylval.fl->filenameLetters()<<yylval.fl->lineno()
|
||||
if (debugFlex()>=6 || debugBison()>=6) { // --debugi-flex and --debugi-bison
|
||||
cout<<" {"<<yylval.fl->filenameLetters()<<yylval.fl->asciiLineCol()
|
||||
<<"} lexToBison TOKEN="<<yylval.token<<" "<<tokenName(yylval.token);
|
||||
if (yylval.token == yaID__ETC
|
||||
|| yylval.token == yaID__LEX
|
||||
|
@ -368,7 +368,8 @@ sub print_summary {
|
||||
my %params = (force => 0, # Force printing
|
||||
@_);
|
||||
my $leftmsg = $::Have_Forker ? $self->{left_cnt} : "NO-FORKER";
|
||||
if (!$self->{quiet} || !$self->{left_cnt} || $params{force}
|
||||
if (!$self->{quiet} || $params{force}
|
||||
|| ($self->{left_cnt} < 5)
|
||||
|| time() > ($self->{_next_summary_time} || 0)) {
|
||||
$self->{_next_summary_time} = time() + 15;
|
||||
print STDERR ("==SUMMARY: ".$self->sprint_summary."\n")
|
||||
|
@ -1,6 +1,16 @@
|
||||
%Error: t/t_array_backw_index_bad.v:13: Slice selection '[1:3]' has backward indexing versus data type's '[3:0]'
|
||||
array_assign[1:3] = '{32'd4, 32'd3, 32'd2};
|
||||
^
|
||||
%Error: t/t_array_backw_index_bad.v:14: Slice selection '[3:1]' has backward indexing versus data type's '[0:3]'
|
||||
larray_assign[3:1] = '{32'd4, 32'd3, 32'd2};
|
||||
^
|
||||
%Error: t/t_array_backw_index_bad.v:16: Slice selection index '[4:3]' outside data type's '[3:0]'
|
||||
array_assign[4:3] = '{32'd4, 32'd3};
|
||||
^
|
||||
%Error: t/t_array_backw_index_bad.v:17: Slice selection index '[1:-1]' outside data type's '[3:0]'
|
||||
array_assign[1:-1] = '{32'd4, 32'd3};
|
||||
^
|
||||
%Error: t/t_array_backw_index_bad.v:17: Assignment pattern missed initializing elements: -1
|
||||
array_assign[1:-1] = '{32'd4, 32'd3};
|
||||
^~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,4 +1,8 @@
|
||||
%Error: t/t_array_list_bad.v:37: Assignment pattern missed initializing elements: MEMBERDTYPE 't3'
|
||||
test_out <= '{'0, '0};
|
||||
^~
|
||||
%Warning-WIDTH: t/t_array_list_bad.v:37: Operator ASSIGNDLY expects 3 bits on the Assign RHS, but Assign RHS's CONCAT generates 2 bits.
|
||||
test_out <= '{'0, '0};
|
||||
^~
|
||||
... Use "/* verilator lint_off WIDTH */" and lint_on around source to disable this message.
|
||||
%Error: Exiting due to
|
||||
|
@ -1,2 +1,4 @@
|
||||
%Error: t/t_array_pattern_bad.v:23: Assignment pattern key 'valids' not found as member
|
||||
valids: '1};
|
||||
^~~~~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,3 +1,7 @@
|
||||
%Error: t/t_assert_dup_bad.v:16: Duplicate declaration of block: 'covlabel'
|
||||
covlabel:
|
||||
^~~~~~~~
|
||||
t/t_assert_dup_bad.v:14: ... Location of original declaration
|
||||
covlabel:
|
||||
^~~~~~~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,2 +1,4 @@
|
||||
%Error: t/t_bitsel_const_bad.v:20: Illegal bit or array select; type does not have a bit range, or bad dimension: type is logic
|
||||
assign a = b[0];
|
||||
^
|
||||
%Error: Exiting due to
|
||||
|
@ -1,2 +1,4 @@
|
||||
%Error: t/t_bitsel_wire_array_bad.v:20: Illegal assignment of constant to unpacked array
|
||||
assign b = a[0];
|
||||
^
|
||||
%Error: Exiting due to
|
||||
|
@ -1,2 +1,4 @@
|
||||
%Error: t/t_case_default_bad.v:15: Multiple default statements in case statement.
|
||||
default: $stop;
|
||||
^~~~~~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,2 +1,4 @@
|
||||
%Error: t/t_case_genx_bad.v:13: Use of x/? constant in generate case statement, (no such thing as 'generate casez')
|
||||
32'b1xxx: initial begin end
|
||||
^~~~~~~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,4 +1,8 @@
|
||||
%Warning-CASEX: t/t_case_x_bad.v:13: Suggest casez (with ?'s) in place of casex (with X's)
|
||||
casex (value)
|
||||
^~~~~
|
||||
... Use "/* verilator lint_off CASEX */" and lint_on around source to disable this message.
|
||||
%Warning-CASEWITHX: t/t_case_x_bad.v:18: Use of x/? constant in case statement, (perhaps intended casex/casez)
|
||||
4'b1xxx: $stop;
|
||||
^~~~~~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,3 +1,5 @@
|
||||
%Warning-CASEWITHX: t/t_case_zx_bad.v:15: Use of x constant in casez statement, (perhaps intended ?/z in constant)
|
||||
4'b1xxx: $stop;
|
||||
^~~~~~~
|
||||
... Use "/* verilator lint_off CASEWITHX */" and lint_on around source to disable this message.
|
||||
%Error: Exiting due to
|
||||
|
@ -1,6 +1,12 @@
|
||||
%Warning-CDCRSTLOGIC: t/t_cdc_async_bad.v:27: Logic in path that feeds async reset, via signal: 't.rst2_bad_n'
|
||||
wire rst2_bad_n = rst0_n | rst1_n;
|
||||
^
|
||||
... Use "/* verilator lint_off CDCRSTLOGIC */" and lint_on around source to disable this message.
|
||||
%Warning-CDCRSTLOGIC: See details in obj_vlt/t_cdc_async_bad/Vt_cdc_async_bad__cdc.txt
|
||||
%Warning-CDCRSTLOGIC: t/t_cdc_async_bad.v:52: Logic in path that feeds async reset, via signal: 't.rst6a_bad_n'
|
||||
wire rst6a_bad_n = rst6_bad_n ^ $c1("0");
|
||||
^
|
||||
%Warning-CDCRSTLOGIC: t/t_cdc_async_bad.v:53: Logic in path that feeds async reset, via signal: 't.rst6b_bad_n'
|
||||
wire rst6b_bad_n = rst6_bad_n ^ $c1("1");
|
||||
^
|
||||
%Error: Exiting due to
|
||||
|
@ -1,3 +1,5 @@
|
||||
%Warning-CLKDATA: t/t_clk_scope_bad.v:35: Clock used as data (on rhs of assignment) in sequential block 'clk'
|
||||
q <= d;
|
||||
^
|
||||
... Use "/* verilator lint_off CLKDATA */" and lint_on around source to disable this message.
|
||||
%Error: Exiting due to
|
||||
|
@ -1,3 +1,5 @@
|
||||
%Warning-WIDTHCONCAT: t/t_concat_large_bad.v:8: More than a 8k bit replication is probably wrong: 32768
|
||||
wire [32767:0] a = {32768{1'b1}};
|
||||
^
|
||||
... Use "/* verilator lint_off WIDTHCONCAT */" and lint_on around source to disable this message.
|
||||
%Error: Exiting due to
|
||||
|
@ -1,5 +1,11 @@
|
||||
%Warning-WIDTH: t/t_const_bad.v:12: Unsized constant being X/Z extended to 68 bits: ?32?bxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
|
||||
if (68'hx_xxxxxxxx_xxxxxxxx !== 'dX) $stop;
|
||||
^~~
|
||||
... Use "/* verilator lint_off WIDTH */" and lint_on around source to disable this message.
|
||||
%Warning-WIDTH: t/t_const_bad.v:13: Unsized constant being X/Z extended to 68 bits: ?32?bzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzz
|
||||
if (68'hz_zzzzzzzz_zzzzzzzz !== 'dZ) $stop;
|
||||
^~~
|
||||
%Warning-WIDTH: t/t_const_bad.v:14: Unsized constant being X/Z extended to 68 bits: ?32?bzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzzz
|
||||
if (68'h?_????????_???????? !== 'd?) $stop;
|
||||
^~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,2 +1,4 @@
|
||||
%Error: t/t_const_dec_mixed_bad.v:8: Mixing X/Z/? with digits not legal in decimal constant: x_1
|
||||
parameter [200:0] MIXED = 32'dx_1;
|
||||
^~~~~~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,6 +1,16 @@
|
||||
%Error: t/t_const_overflow_bad.v:8: Too many digits for 94 bit number: 94'd123456789012345678901234567890
|
||||
parameter [200:0] TOO_SMALL = 94'd123456789012345678901234567890;
|
||||
^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
%Error: t/t_const_overflow_bad.v:10: Too many digits for 8 bit number: 8'habc
|
||||
parameter [200:0] SMALLH = 8'habc;
|
||||
^~~~~~
|
||||
%Error: t/t_const_overflow_bad.v:11: Too many digits for 6 bit number: 6'o1234
|
||||
parameter [200:0] SMALLO = 6'o1234;
|
||||
^~~~~~~
|
||||
%Error: t/t_const_overflow_bad.v:12: Too many digits for 3 bit number: 3'b1111
|
||||
parameter [200:0] SMALLB = 3'b1111;
|
||||
^~~~~~~
|
||||
%Error: t/t_const_overflow_bad.v:18: Too many digits for 129 bit number: 129'hdeadbeefc001f00ddeadbeefc001f00ddeadbeefc001f00ddeadbeefc001f00d
|
||||
parameter [128:0] ALSO_SMALL = 129'hdeadbeefc001f00ddeadbeefc001f00ddeadbeefc001f00ddeadbeefc001f00d;
|
||||
^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,6 +1,14 @@
|
||||
%Warning-ASSIGNDLY: t/t_delay.v:19: Unsupported: Ignoring delay on this assignment/primitive.
|
||||
assign #(1.2000000000000000) dly1 = dly0 + 32'h1;
|
||||
^
|
||||
... Use "/* verilator lint_off ASSIGNDLY */" and lint_on around source to disable this message.
|
||||
%Warning-ASSIGNDLY: t/t_delay.v:24: Unsupported: Ignoring delay on this assignment/primitive.
|
||||
dly0 <= #0 32'h11;
|
||||
^
|
||||
%Warning-ASSIGNDLY: t/t_delay.v:27: Unsupported: Ignoring delay on this assignment/primitive.
|
||||
dly0 <= #0.12 dly0 + 32'h12;
|
||||
^
|
||||
%Warning-STMTDLY: t/t_delay.v:33: Unsupported: Ignoring delay on this delayed statement.
|
||||
#100 $finish;
|
||||
^
|
||||
%Error: Exiting due to
|
||||
|
@ -1,3 +1,7 @@
|
||||
%Error: t/t_display_bad.v:10: Missing arguments for $display-like format
|
||||
$display("%x");
|
||||
^~~~~~~~
|
||||
%Error: t/t_display_bad.v:12: Unknown $display-like format code: '%q'
|
||||
$display("%q");
|
||||
^~~~~~~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,2 +1,4 @@
|
||||
%Error: t/t_display_esc_bad.v:8: Unknown escape sequence: \x
|
||||
$display("\x\y\z");
|
||||
^~~~~~~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,2 +1,4 @@
|
||||
%Error: t/t_dpi_2exp_bad.v:11: Function was already DPI Exported, duplicate not allowed: 'dpix_twice'
|
||||
export "DPI-C" dpix_t_int_renamed = task dpix_twice;
|
||||
^~~~~~~~~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,4 +1,8 @@
|
||||
%Error: t/t_dpi_dup_bad.v:12: Duplicate declaration of DPI function with different formal arguments: 't.oth_f_int2'
|
||||
import "DPI-C" pure dpii_fa_bit = function int oth_f_int2(input int i, input int bad);
|
||||
^~~~~~~~~~
|
||||
: ... New prototype: pure int dpii_fa_bit (int, int)
|
||||
t/t_dpi_dup_bad.v:11: ... Original prototype: int dpii_fa_bit (int)
|
||||
import "DPI-C" dpii_fa_bit = function int oth_f_int1(input int i);
|
||||
^~~~~~~~~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,2 +1,4 @@
|
||||
%Error: t/t_dpi_exp_bad.v:11: DPI functions cannot return > 32 bits or four-state; use a two-state type or task instead: 'dpix_f_bit48__Vfuncrtn'
|
||||
function bit [47:0] dpix_f_bit48(bit [47:0] i); dpix_f_bit48 = ~i; endfunction
|
||||
^~~~~~~~~~~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,2 +1,4 @@
|
||||
%Error: t/t_dpi_logic_bad.v:11: DPI function may not return type BASICDTYPE 'logic' (IEEE 2017 35.5.5)
|
||||
import "DPI-C" dpii_fa_bit = function logic [2:0] oth_f_int1(input time i);
|
||||
^~~~~~~~~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,2 +1,4 @@
|
||||
%Error: t/t_dpi_name_bad.v:11: DPI function has illegal characters in C identifier name: 'badly.named'
|
||||
import "DPI-C" function int \badly.named (int i);
|
||||
^~~~~~~~~~~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,3 +1,7 @@
|
||||
%Error: t/t_dpi_openreg_bad.v:13: Unsized/open arrays ('[]') are only supported in DPI imports
|
||||
reg a [];
|
||||
^
|
||||
%Error: t/t_dpi_openreg_bad.v:14: Unsized/open arrays ('[]') are only supported in DPI imports
|
||||
input b [];
|
||||
^
|
||||
%Error: Exiting due to
|
||||
|
@ -1,4 +1,8 @@
|
||||
%Warning-VARHIDDEN: t/t_enum_bad_hide.v:10: Declaration of enum value hides declaration in upper scope: HIDE_VALUE
|
||||
typedef enum { HIDE_VALUE = 0 } hide_enum_t;
|
||||
^~~~~~~~~~
|
||||
t/t_enum_bad_hide.v:6: ... Location of original declaration
|
||||
typedef enum { HIDE_VALUE = 0 } hide_enum_t;
|
||||
^~~~~~~~~~
|
||||
... Use "/* verilator lint_off VARHIDDEN */" and lint_on around source to disable this message.
|
||||
%Error: Exiting due to
|
||||
|
@ -1,3 +1,7 @@
|
||||
%Error: t/t_enum_overlap_bad.v:11: Overlapping enumeration value: 'e1b'
|
||||
e1b=1
|
||||
^~~
|
||||
t/t_enum_overlap_bad.v:9: ... Location of original declaration
|
||||
e1,
|
||||
^~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,5 +1,13 @@
|
||||
%Error: t/t_flag_errorlimit_bad.v:9: Duplicate declaration of signal: 'u1'
|
||||
int u1;
|
||||
^~
|
||||
t/t_flag_errorlimit_bad.v:8: ... Location of original declaration
|
||||
int u1;
|
||||
^~
|
||||
%Error: t/t_flag_errorlimit_bad.v:10: Duplicate declaration of signal: 'u1'
|
||||
int u1;
|
||||
^~
|
||||
t/t_flag_errorlimit_bad.v:8: ... Location of original declaration
|
||||
int u1;
|
||||
^~
|
||||
%Error: Exiting due to
|
||||
|
@ -2,6 +2,12 @@
|
||||
: ... Suggest see manual; fix the duplicates, or use --top-module to select top.
|
||||
... Use "/* verilator lint_off MULTITOP */" and lint_on around source to disable this message.
|
||||
: ... Top module 'a'
|
||||
module a;
|
||||
^
|
||||
: ... Top module 'a2'
|
||||
module a2;
|
||||
^~
|
||||
: ... Top module 'b'
|
||||
module b;
|
||||
^
|
||||
%Error: Exiting due to
|
||||
|
@ -1,3 +1,5 @@
|
||||
%Warning-WIDTH: t/t_flag_werror.v:9: Operator ASSIGNW expects 4 bits on the Assign RHS, but Assign RHS's CONST '6'h2e' generates 6 bits.
|
||||
wire [3:0] foo = 6'h2e;
|
||||
^
|
||||
... Use "/* verilator lint_off WIDTH */" and lint_on around source to disable this message.
|
||||
%Error: Exiting due to
|
||||
|
@ -1,2 +1,4 @@
|
||||
%Error-WIDTH: t/t_flag_werror.v:9: Operator ASSIGNW expects 4 bits on the Assign RHS, but Assign RHS's CONST '6'h2e' generates 6 bits.
|
||||
wire [3:0] foo = 6'h2e;
|
||||
^
|
||||
%Error: Exiting due to
|
||||
|
@ -1,2 +1,4 @@
|
||||
%Warning-WIDTH: t/t_flag_wfatal.v:9: Operator ASSIGNW expects 4 bits on the Assign RHS, but Assign RHS's CONST '6'h2e' generates 6 bits.
|
||||
wire [3:0] foo = 6'h2e;
|
||||
^
|
||||
... Use "/* verilator lint_off WIDTH */" and lint_on around source to disable this message.
|
||||
|
@ -1,10 +1,28 @@
|
||||
%Error: t/t_for_comma_bad.v:13: Unsupported: for loop step after the first comma
|
||||
for (; ; a=a+1, b=b+1) ;
|
||||
^
|
||||
%Error: t/t_for_comma_bad.v:16: Unsupported: for loop step after the first comma
|
||||
for (; a<1; a=a+1, b=b+1) ;
|
||||
^
|
||||
%Error: t/t_for_comma_bad.v:19: Unsupported: for loop step after the first comma
|
||||
for (a=0; a<1; a=a+1, b=b+1) ;
|
||||
^
|
||||
%Error: t/t_for_comma_bad.v:22: Unsupported: for loop step after the first comma
|
||||
for (integer a=0; a<1; a=a+1, b=b+1) ;
|
||||
^
|
||||
%Error: t/t_for_comma_bad.v:25: Unsupported: for loop step after the first comma
|
||||
for (var integer a=0; a<1; a=a+1, b=b+1) ;
|
||||
^
|
||||
%Error: t/t_for_comma_bad.v:26: Unsupported: for loop initialization after the first comma
|
||||
for (integer a=0, integer b=0; a<1; ) ;
|
||||
^
|
||||
%Error: t/t_for_comma_bad.v:27: Unsupported: for loop initialization after the first comma
|
||||
for (integer a=0, integer b=0; a<1; a=a+1) ;
|
||||
^
|
||||
%Error: t/t_for_comma_bad.v:28: Unsupported: for loop initialization after the first comma
|
||||
for (integer a=0, integer b=0; a<1; a=a+1, b=b+1) ;
|
||||
^
|
||||
%Error: t/t_for_comma_bad.v:28: Unsupported: for loop step after the first comma
|
||||
for (integer a=0, integer b=0; a<1; a=a+1, b=b+1) ;
|
||||
^
|
||||
%Error: Exiting due to
|
||||
|
@ -1,8 +1,22 @@
|
||||
%Error: t/t_func_bad.v:8: Missing argument on non-defaulted argument 'from2' in function call to FUNC 'add'
|
||||
if (add(3'd1) != 0) $stop;
|
||||
^~~
|
||||
%Error: t/t_func_bad.v:9: Too many arguments in function call to FUNC 'add'
|
||||
if (add(3'd1, 3'd2, 3'd3) != 0) $stop;
|
||||
^~~~
|
||||
%Error: t/t_func_bad.v:10: Missing argument on non-defaulted argument 'y' in function call to TASK 'x'
|
||||
x;
|
||||
^
|
||||
%Error: t/t_func_bad.v:10: Unsupported: Function output argument 'y' requires 1 bits, but connection's CONST '?32?h0' generates 32 bits.
|
||||
x;
|
||||
^
|
||||
%Error: t/t_func_bad.v:13: No such argument 'no_such' in function call to FUNC 'f'
|
||||
f(.j(1), .no_such(2));
|
||||
^~~~~~~
|
||||
%Error: t/t_func_bad.v:14: Duplicate argument 'dup' in function call to FUNC 'f'
|
||||
f(.dup(1), .dup(3));
|
||||
^~~
|
||||
%Error: t/t_func_bad.v:15: Too many arguments in function call to FUNC 'f'
|
||||
f(1,2,3);
|
||||
^
|
||||
%Error: Exiting due to
|
||||
|
@ -1,2 +1,4 @@
|
||||
%Error: t/t_func_bad2.v:7: Unsupported: Recursive function or task call
|
||||
function recurse;
|
||||
^~~~~~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,4 +1,8 @@
|
||||
%Warning-WIDTH: t/t_func_bad_width.v:12: Operator FUNCREF 'MUX' expects 40 bits on the Function Argument, but Function Argument's VARREF 'in' generates 39 bits.
|
||||
out = MUX (in);
|
||||
^~~
|
||||
... Use "/* verilator lint_off WIDTH */" and lint_on around source to disable this message.
|
||||
%Warning-WIDTH: t/t_func_bad_width.v:12: Operator ASSIGN expects 4 bits on the Assign RHS, but Assign RHS's FUNCREF 'MUX' generates 32 bits.
|
||||
out = MUX (in);
|
||||
^
|
||||
%Error: Exiting due to
|
||||
|
@ -9,4 +9,6 @@
|
||||
a = ?32?sh7
|
||||
b = ?32?sh8
|
||||
c = ?32?sh9
|
||||
localparam P24 = f_add2(7, 8, 9);
|
||||
^~~~~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,21 +1,31 @@
|
||||
%Error: t/t_func_const_bad.v:11: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_bad_output'
|
||||
t/t_func_const_bad.v:12: ... Location of non-constant VAR 'o': Language violation: Outputs/refs not allowed in constant functions
|
||||
localparam B1 = f_bad_output(1,2);
|
||||
^~~~~~~~~~~~
|
||||
%Error: t/t_func_const_bad.v:20: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_bad_dotted'
|
||||
t/t_func_const_bad.v:22: ... Location of non-constant VARXREF 'EIGHT': Language violation: Dotted hierarchical references not allowed in constant functions
|
||||
t/t_func_const_bad.v:20: ... Called from f_bad_dotted() with parameters:
|
||||
a = ?32?sh2
|
||||
localparam B2 = f_bad_dotted(2);
|
||||
^~~~~~~~~~~~
|
||||
%Error: t/t_func_const_bad.v:27: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_bad_nonparam'
|
||||
t/t_func_const_bad.v:29: ... Location of non-constant VARREF 'modvar': Language violation: reference to non-function-local variable
|
||||
t/t_func_const_bad.v:27: ... Called from f_bad_nonparam() with parameters:
|
||||
a = ?32?sh3
|
||||
localparam B3 = f_bad_nonparam(3);
|
||||
^~~~~~~~~~~~~~
|
||||
%Error: t/t_func_const_bad.v:35: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_bad_infinite'
|
||||
t/t_func_const_bad.v:37: ... Location of non-constant WHILE: Loop unrolling took too long; probably this is an infinite loop, or set --unroll-count above 1024
|
||||
t/t_func_const_bad.v:35: ... Called from f_bad_infinite() with parameters:
|
||||
a = ?32?sh3
|
||||
localparam B4 = f_bad_infinite(3);
|
||||
^~~~~~~~~~~~~~
|
||||
%Error: t/t_func_const_bad.v:43: Expecting expression to be constant, but can't determine constant for FUNCREF 'f_bad_stop'
|
||||
t/t_func_const_bad.v:45: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
|
||||
t/t_func_const_bad.v:43: ... Called from f_bad_stop() with parameters:
|
||||
a = ?32?sh3
|
||||
localparam BSTOP = f_bad_stop(3);
|
||||
^~~~~~~~~~
|
||||
-Info: Printing in loop: 0
|
||||
-Info: Printing in loop: 1
|
||||
-Info: Printing in loop: 2
|
||||
@ -25,4 +35,6 @@
|
||||
t/t_func_const_bad.v:54: ... Location of non-constant STOP: $stop executed during function constification; maybe indicates assertion firing
|
||||
t/t_func_const_bad.v:49: ... Called from f_bad_fatal() with parameters:
|
||||
a = ?32?sh3
|
||||
localparam BFATAL = f_bad_fatal(3);
|
||||
^~~~~~~~~~~
|
||||
%Error: Exiting due to
|
||||
|
@ -8,4 +8,6 @@
|
||||
a = ?32?sh7
|
||||
b = ?32?sh8
|
||||
c = ?32?sh9
|
||||
localparam P24 = f_add2(7, 8, 9);
|
||||
^~~~~~
|
||||
%Error: Exiting due to
|
||||
|
@ -8,4 +8,6 @@
|
||||
a = ?32?sh7
|
||||
b = ?32?sh8
|
||||
c = ?32?sh9
|
||||
localparam P24 = f_add2(7, 8, 9);
|
||||
^~~~~~
|
||||
%Error: Exiting due to
|
||||
|
@ -8,4 +8,6 @@
|
||||
a = ?32?sh7
|
||||
b = ?32?sh8
|
||||
c = ?32?sh9
|
||||
localparam P24 = f_add2(7, 8, 9);
|
||||
^~~~~~
|
||||
%Error: Exiting due to
|
||||
|
@ -8,4 +8,6 @@
|
||||
a = ?32?sh7
|
||||
b = ?32?sh8
|
||||
c = ?32?sh9
|
||||
localparam P24 = f_add2(7, 8, 9);
|
||||
^~~~~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,2 +1,4 @@
|
||||
%Error: t/t_func_task_bad.v:9: Illegal call of a task as a function: 'task_as_func'
|
||||
if (task_as_func(1'b0)) $stop;
|
||||
^~~~~~~~~~~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,2 +1,4 @@
|
||||
%Error: t/t_func_tie_bad.v:10: Function/task output connected to constant instead of variable: 'b'
|
||||
func(0, 1'b1);
|
||||
^~~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,3 +1,5 @@
|
||||
%Warning-IGNOREDRETURN: t/t_func_void_bad.v:25: Ignoring return value of non-void function (IEEE 2017 13.4.1)
|
||||
f1(20);
|
||||
^~
|
||||
... Use "/* verilator lint_off IGNOREDRETURN */" and lint_on around source to disable this message.
|
||||
%Error: Exiting due to
|
||||
|
@ -1,2 +1,4 @@
|
||||
%Error: t/t_func_wide_out_bad.v:16: Unsupported: Function output argument 'data' requires 4352 bits, but connection's VARREF 'msg' generates 4350 bits.
|
||||
func(msg);
|
||||
^~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,6 +1,14 @@
|
||||
%Warning-SELRANGE: t/t_gen_cond_bitrange_bad.v:58: Selection index out of range: 2:2 outside 1:0
|
||||
if ((g < (SIZE + 1)) && MASK[g]) begin
|
||||
^
|
||||
... Use "/* verilator lint_off SELRANGE */" and lint_on around source to disable this message.
|
||||
%Warning-SELRANGE: t/t_gen_cond_bitrange_bad.v:70: Selection index out of range: 2:2 outside 1:0
|
||||
if ((g < SIZE) && MASK[g + 1]) begin
|
||||
^
|
||||
%Warning-SELRANGE: t/t_gen_cond_bitrange_bad.v:83: Selection index out of range: 2:2 outside 1:0
|
||||
if ((g < (SIZE)) & MASK[g]) begin
|
||||
^
|
||||
%Warning-SELRANGE: t/t_gen_cond_bitrange_bad.v:96: Selection index out of range: 2:2 outside 1:0
|
||||
if (!((g >= SIZE) | ~MASK[g])) begin
|
||||
^
|
||||
%Error: Exiting due to
|
||||
|
@ -1,4 +1,6 @@
|
||||
%Error: t/t_gen_missing.v:42: Cannot find file containing module: 'foo_not_needed'
|
||||
foo_not_needed i_foo(.x(foo[j]), .y(bar[j]));
|
||||
^~~~~~~~~~~~~~
|
||||
... Looked in:
|
||||
t/foo_not_needed
|
||||
t/foo_not_needed.v
|
||||
|
@ -1,2 +1,4 @@
|
||||
%Error: t/t_gen_var_bad.v:9: Non-genvar used in generate for: 'i'
|
||||
for (i=0; i<3; i=i+1) begin
|
||||
^~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,7 +1,17 @@
|
||||
%Warning-ENDLABEL: t/t_hierarchy_identifier_bad.v:33: End label 'if_cnt_finish_bad' does not match begin label 'if_cnt_finish'
|
||||
end : if_cnt_finish_bad
|
||||
^~~~~~~~~~~~~~~~~
|
||||
... Use "/* verilator lint_off ENDLABEL */" and lint_on around source to disable this message.
|
||||
%Warning-ENDLABEL: t/t_hierarchy_identifier_bad.v:39: End label 'generate_for_bad' does not match begin label 'generate_for'
|
||||
end : generate_for_bad
|
||||
^~~~~~~~~~~~~~~~
|
||||
%Warning-ENDLABEL: t/t_hierarchy_identifier_bad.v:46: End label 'generate_if_if_bad' does not match begin label 'generate_if_if'
|
||||
end : generate_if_if_bad
|
||||
^~~~~~~~~~~~~~~~~~
|
||||
%Warning-ENDLABEL: t/t_hierarchy_identifier_bad.v:50: End label 'generate_if_else_bad' does not match begin label 'generate_if_else'
|
||||
end : generate_if_else_bad
|
||||
^~~~~~~~~~~~~~~~~~~~
|
||||
%Warning-ENDLABEL: t/t_hierarchy_identifier_bad.v:53: End label 't_bad' does not match begin label 't'
|
||||
endmodule : t_bad
|
||||
^~~~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,6 +1,10 @@
|
||||
%Warning-INITIALDLY: t/t_initial_dlyass.v:17: Delayed assignments (<=) in initial or final block
|
||||
: ... Suggest blocking assignments (=)
|
||||
a <= 22;
|
||||
^~
|
||||
... Use "/* verilator lint_off INITIALDLY */" and lint_on around source to disable this message.
|
||||
%Warning-INITIALDLY: t/t_initial_dlyass.v:18: Delayed assignments (<=) in initial or final block
|
||||
: ... Suggest blocking assignments (=)
|
||||
b <= 33;
|
||||
^~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,2 +1,4 @@
|
||||
%Error: t/t_inst_array_bad.v:18: Input port connection 'onebit' as part of a module instance array requires 1 or 8 bits, but connection's VARREF 'onebitbad' generates 9 bits.
|
||||
sub sub [7:0] (allbits, onebitbad, bitout);
|
||||
^~~~~~~~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,2 +1,4 @@
|
||||
%Error: t/t_inst_misarray_bad.v:16: VARREF 't.foo' is not an unpacked array, but is in an unpacked array context
|
||||
.foo(foo));
|
||||
^~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,5 +1,11 @@
|
||||
%Warning-PINNOCONNECT: t/t_inst_missing_bad.v:8: Cell pin is not connected: '__pinNumber2'
|
||||
sub sub (.ok(ok), , .nc());
|
||||
^
|
||||
... Use "/* verilator lint_off PINNOCONNECT */" and lint_on around source to disable this message.
|
||||
%Warning-PINCONNECTEMPTY: t/t_inst_missing_bad.v:8: Cell pin connected by name with empty reference: 'nc'
|
||||
sub sub (.ok(ok), , .nc());
|
||||
^~
|
||||
%Warning-PINMISSING: t/t_inst_missing_bad.v:8: Cell has missing pin: 'missing'
|
||||
sub sub (.ok(ok), , .nc());
|
||||
^~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,6 +1,14 @@
|
||||
%Warning-WIDTH: t/t_inst_overwide.v:22: Output port connection 'outy_w92' expects 92 bits on the pin connection, but pin connection's VARREF 'outc_w30' generates 30 bits.
|
||||
.outy_w92 (outc_w30),
|
||||
^~~~~~~~
|
||||
... Use "/* verilator lint_off WIDTH */" and lint_on around source to disable this message.
|
||||
%Warning-WIDTH: t/t_inst_overwide.v:23: Output port connection 'outz_w22' expects 22 bits on the pin connection, but pin connection's VARREF 'outd_w73' generates 73 bits.
|
||||
.outz_w22 (outd_w73),
|
||||
^~~~~~~~
|
||||
%Warning-WIDTH: t/t_inst_overwide.v:26: Input port connection 'inw_w31' expects 31 bits on the pin connection, but pin connection's VARREF 'ina_w1' generates 1 bits.
|
||||
.inw_w31 (ina_w1),
|
||||
^~~~~~~
|
||||
%Warning-WIDTH: t/t_inst_overwide.v:27: Input port connection 'inx_w11' expects 11 bits on the pin connection, but pin connection's VARREF 'inb_w61' generates 61 bits.
|
||||
.inx_w11 (inb_w61)
|
||||
^~~~~~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,2 +1,4 @@
|
||||
%Error: t/t_inst_recurse2_bad.v:17: Unsupported: Identically recursive module (module instantiates itself, without changing parameters): 'looped'
|
||||
module looped ( );
|
||||
^~~~~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,3 +1,5 @@
|
||||
%Error: t/t_inst_recurse_bad.v:17: Unsupported: Recursive multiple modules (module instantiates something leading back to itself): 'looped'
|
||||
... note: self-recursion (module instantiating itself directly) is supported.
|
||||
module looped ( );
|
||||
^~~~~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,3 +1,7 @@
|
||||
%Error: t/t_interface_array_bad.v:22: Expecting expression to be constant, but variable isn't const: 'bar'
|
||||
assign foos[bar].a = 1'b1;
|
||||
^~~
|
||||
%Error: t/t_interface_array_bad.v:22: Could not expand constant selection inside dotted reference: 'bar'
|
||||
assign foos[bar].a = 1'b1;
|
||||
^
|
||||
%Error: Exiting due to
|
||||
|
@ -1,6 +1,14 @@
|
||||
%Warning-LITENDIAN: t/t_interface_array_nocolon_bad.v:25: Little endian cell range connecting to vector: MSB < LSB of cell range: 0:2
|
||||
foo_intf foos [N] (.x(X));
|
||||
^
|
||||
... Use "/* verilator lint_off LITENDIAN */" and lint_on around source to disable this message.
|
||||
%Warning-LITENDIAN: t/t_interface_array_nocolon_bad.v:26: Little endian cell range connecting to vector: MSB < LSB of cell range: 1:3
|
||||
foo_intf fool [1:3] (.x(X));
|
||||
^
|
||||
%Warning-LITENDIAN: t/t_interface_array_nocolon_bad.v:29: Little endian cell range connecting to vector: MSB < LSB of cell range: 0:2
|
||||
foo_subm subs [N] (.x(X));
|
||||
^
|
||||
%Warning-LITENDIAN: t/t_interface_array_nocolon_bad.v:30: Little endian cell range connecting to vector: MSB < LSB of cell range: 1:3
|
||||
foo_subm subl [1:3] (.x(X));
|
||||
^
|
||||
%Error: Exiting due to
|
||||
|
@ -1,3 +1,5 @@
|
||||
%Error: t/t_interface_mismodport_bad.v:35: Can't find definition of 'bad' in dotted signal: 'isub.bad'
|
||||
isub.bad = i_value;
|
||||
^~~
|
||||
... Known scopes under 'bad': <no cells found>
|
||||
%Error: Exiting due to
|
||||
|
@ -1,4 +1,10 @@
|
||||
%Error: t/t_interface_missing_bad.v:13: Cannot find file containing interface: 'foo_intf'
|
||||
foo_intf foo
|
||||
^~~~~~~~
|
||||
%Error: t/t_interface_missing_bad.v:19: Cannot find file containing interface: 'foo_intf'
|
||||
foo_intf the_foo ();
|
||||
^~~~~~~~
|
||||
%Error: t/t_interface_missing_bad.v:24: Found definition of 'the_foo' as a CELL but expected a variable
|
||||
.foo (the_foo)
|
||||
^~~~~~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,3 +1,5 @@
|
||||
%Error: t/t_interface_modport_bad.v:22: Modport not found under interface 'ifc': 'oop_modport'
|
||||
: ... Suggested alternative: 'out_modport'
|
||||
ifc.oop_modport isub,
|
||||
^~~~~~~~~~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,2 +1,4 @@
|
||||
%Error: t/t_interface_param_another_bad.v:8: Parameter-resolved constants must not use dotted references: 'dummy'
|
||||
simple_bus #(.PARAMETER($bits(sb_intf.dummy))) simple();
|
||||
^~~~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,3 +1,7 @@
|
||||
%Error: t/t_interface_size_bad.v:15: Illegal port connection 'foo', mismatch between port which is an interface array of size 5, and expression which is an interface array of size 4.
|
||||
baz baz4_inst (.foo(foo4));
|
||||
^~~
|
||||
%Error: t/t_interface_size_bad.v:16: Illegal port connection 'foo', mismatch between port which is an interface array of size 5, and expression which is an interface array of size 6.
|
||||
baz baz6_inst (.foo(foo6));
|
||||
^~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,3 +1,7 @@
|
||||
%Error: t/t_interface_top_bad.v:16: Unsupported: Interfaced port on top level module
|
||||
ifc.counter_mp c_data
|
||||
^~~~~~
|
||||
%Error: t/t_interface_top_bad.v:16: Parent cell's interface is not found: 'ifc'
|
||||
ifc.counter_mp c_data
|
||||
^~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,4 +1,10 @@
|
||||
%Error: t/t_interface_typo_bad.v:13: Parent cell's interface is not found: 'foo_intf'
|
||||
foo_intf foo
|
||||
^~~~~~~~
|
||||
%Error: t/t_interface_typo_bad.v:21: Cannot find file containing interface: 'fo_intf'
|
||||
fo_intf the_foo;
|
||||
^~~~~~~
|
||||
%Error: t/t_interface_typo_bad.v:26: Found definition of 'the_foo' as a CELL but expected a variable
|
||||
.foo (the_foo)
|
||||
^~~~~~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,2 +1,4 @@
|
||||
%Error: t/t_interface_wrong_bad.v:31: Port 'foo_port' expects 'foo_intf' interface but pin connects 'bar_intf' interface
|
||||
.foo_port (bar)
|
||||
^~~~~~~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,6 +1,14 @@
|
||||
%Error-PROCASSWIRE: t/t_lint_always_comb_bad.v:28: Procedural assignment to wire, perhaps intended var (IEEE 2017 6.5): 'temp1'
|
||||
temp1 = 'h0;
|
||||
^~~~~
|
||||
%Error-PROCASSWIRE: t/t_lint_always_comb_bad.v:30: Procedural assignment to wire, perhaps intended var (IEEE 2017 6.5): 'temp1'
|
||||
temp1 = (temp1_d1r - 'h1);
|
||||
^~~~~
|
||||
%Warning-ALWCOMBORDER: t/t_lint_always_comb_bad.v:31: Always_comb variable driven after use: 'mid'
|
||||
mid = (temp1_d1r == 'h0);
|
||||
^~~
|
||||
... Use "/* verilator lint_off ALWCOMBORDER */" and lint_on around source to disable this message.
|
||||
%Error-PROCASSWIRE: t/t_lint_always_comb_bad.v:45: Procedural assignment to wire, perhaps intended var (IEEE 2017 6.5): 'temp1_d1r'
|
||||
temp1_d1r <= temp1;
|
||||
^~~~~~~~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,8 +1,12 @@
|
||||
%Warning-BLKSEQ: t/t_lint_blksync_bad.v:23: Blocking assignments (=) in sequential (flop or latch) block
|
||||
: ... Suggest delayed assignments (<=)
|
||||
sync_blk = 1'b1;
|
||||
^
|
||||
... Use "/* verilator lint_off BLKSEQ */" and lint_on around source to disable this message.
|
||||
%Warning-COMBDLY: t/t_lint_blksync_bad.v:30: Delayed assignments (<=) in non-clocked (non flop or latch) block
|
||||
: ... Suggest blocking assignments (=)
|
||||
combo_nblk <= 1'b1;
|
||||
^~
|
||||
*** See the manual before disabling this,
|
||||
else you may end up with different sim results.
|
||||
%Error: Exiting due to
|
||||
|
@ -1,4 +1,8 @@
|
||||
%Warning-BSSPACE: t/t_lint_bsspace_bad.v:9: Backslash followed by whitespace, perhaps the whitespace is accidental?
|
||||
`define FOO blak \
|
||||
^
|
||||
... Use "/* verilator lint_off BSSPACE */" and lint_on around source to disable this message.
|
||||
%Error: t/t_lint_bsspace_bad.v:10: syntax error, unexpected IDENTIFIER
|
||||
blak
|
||||
^~~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,3 +1,5 @@
|
||||
%Warning-COLONPLUS: t/t_lint_colonplus_bad.v:12: Perhaps instead of ':+' the intent was '+:'?
|
||||
output [2:1] z = r[2 :+ 1];
|
||||
^~
|
||||
... Use "/* verilator lint_off COLONPLUS */" and lint_on around source to disable this message.
|
||||
%Error: Exiting due to
|
||||
|
@ -1,3 +1,5 @@
|
||||
%Error: t/t_lint_comb_bad.v:13: syntax error, unexpected '@'
|
||||
always_comb @(*) begin
|
||||
^
|
||||
%Error: Cannot continue
|
||||
%Error: Command Failed
|
||||
|
@ -1,3 +1,5 @@
|
||||
%Warning-DECLFILENAME: t/t_lint_declfilename.v:6: Filename 't_lint_declfilename' does not match MODULE name: 't'
|
||||
module t;
|
||||
^
|
||||
... Use "/* verilator lint_off DECLFILENAME */" and lint_on around source to disable this message.
|
||||
%Error: Exiting due to
|
||||
|
@ -1,3 +1,5 @@
|
||||
%Warning-DEFPARAM: t/t_lint_defparam.v:9: Suggest replace defparam assignment with Verilog 2001 #(.P(...etc...))
|
||||
defparam sub.P = 2;
|
||||
^
|
||||
... Use "/* verilator lint_off DEFPARAM */" and lint_on around source to disable this message.
|
||||
%Error: Exiting due to
|
||||
|
@ -1,3 +1,5 @@
|
||||
%Warning-IFDEPTH: t/t_lint_ifdepth_bad.v:21: Deep 'if' statement; suggest unique/priority to avoid slow logic
|
||||
else if (value==11) begin end
|
||||
^~
|
||||
... Use "/* verilator lint_off IFDEPTH */" and lint_on around source to disable this message.
|
||||
%Error: Exiting due to
|
||||
|
@ -1,8 +1,16 @@
|
||||
%Warning-IMPLICIT: t/t_lint_implicit.v:10: Signal definition not found, creating implicitly: 'b'
|
||||
assign b = 1'b1;
|
||||
^
|
||||
... Use "/* verilator lint_off IMPLICIT */" and lint_on around source to disable this message.
|
||||
%Warning-IMPLICIT: t/t_lint_implicit.v:12: Signal definition not found, creating implicitly: 'nt0'
|
||||
or OR0 (nt0, a, b);
|
||||
^~~
|
||||
%Warning-IMPLICIT: t/t_lint_implicit.v:15: Signal definition not found, creating implicitly: 'dummy1'
|
||||
: ... Suggested alternative: 'dummy_ip'
|
||||
assign {dummy1, dummy2} = dummy_ip;
|
||||
^~~~~~
|
||||
%Warning-IMPLICIT: t/t_lint_implicit.v:15: Signal definition not found, creating implicitly: 'dummy2'
|
||||
: ... Suggested alternative: 'dummy1'
|
||||
assign {dummy1, dummy2} = dummy_ip;
|
||||
^~~~~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,4 +1,8 @@
|
||||
%Warning-IMPLICIT: t/t_lint_implicit_def_bad.v:10: Signal definition not found, creating implicitly: 'imp_warn'
|
||||
assign imp_warn = 1'b1;
|
||||
^~~~~~~~
|
||||
... Use "/* verilator lint_off IMPLICIT */" and lint_on around source to disable this message.
|
||||
%Error: t/t_lint_implicit_def_bad.v:15: Signal definition not found, and implicit disabled with `default_nettype: 'imp_err'
|
||||
assign imp_err = 1'b1;
|
||||
^~~~~~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,2 +1,4 @@
|
||||
%Error: t/t_lint_import_name_bad.v:10: Import object not found: 'defs::sigs'
|
||||
import defs::sigs;
|
||||
^~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,3 +1,5 @@
|
||||
%Warning-IMPORTSTAR: t/t_lint_importstar_bad.v:10: Import::* in $unit scope may pollute global namespace
|
||||
import defs::*;
|
||||
^~
|
||||
... Use "/* verilator lint_off IMPORTSTAR */" and lint_on around source to disable this message.
|
||||
%Error: Exiting due to
|
||||
|
@ -1,4 +1,6 @@
|
||||
%Error: t/t_lint_in_inc_bad_2.vh:8: syntax error, unexpected if, expecting '('
|
||||
t/t_lint_in_inc_bad_1.vh:6: ... note: In file included from t_lint_in_inc_bad_1.vh
|
||||
t/t_lint_in_inc_bad.v:6: ... note: In file included from t_lint_in_inc_bad.v
|
||||
if if if;
|
||||
^~
|
||||
t/t_lint_in_inc_bad_1.vh:7: ... note: In file included from t_lint_in_inc_bad_1.vh
|
||||
t/t_lint_in_inc_bad.v:7: ... note: In file included from t_lint_in_inc_bad.v
|
||||
%Error: Exiting due to
|
||||
|
@ -1,3 +1,5 @@
|
||||
%Warning-INCABSPATH: t/t_lint_incabspath.v:6: Suggest `include with absolute path be made relative, and use +include: /dev/null
|
||||
`include "/dev/null"
|
||||
^~~~~~~~~~~
|
||||
... Use "/* verilator lint_off INCABSPATH */" and lint_on around source to disable this message.
|
||||
%Error: Exiting due to
|
||||
|
@ -1,4 +1,8 @@
|
||||
%Warning-INFINITELOOP: t/t_lint_infinite.v:9: Infinite loop (condition always true)
|
||||
forever begin end
|
||||
^~~~~~~
|
||||
... Use "/* verilator lint_off INFINITELOOP */" and lint_on around source to disable this message.
|
||||
%Warning-INFINITELOOP: t/t_lint_infinite.v:11: Infinite loop (condition always true)
|
||||
for (reg [31:0] i=0; i>=0; i=i+1) begin end
|
||||
^~~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,2 +1,4 @@
|
||||
%Error: t/t_lint_input_eq_bad.v:9: Unsupported: Default value on module input: 'i2'
|
||||
input wire i2 = i
|
||||
^~
|
||||
%Error: Exiting due to
|
||||
|
@ -1,5 +1,7 @@
|
||||
%Warning-COMBDLY: t/t_lint_latch_bad.v:24: Delayed assignments (<=) in non-clocked (non flop or latch) block
|
||||
: ... Suggest blocking assignments (=)
|
||||
bc <= a;
|
||||
^~
|
||||
... Use "/* verilator lint_off COMBDLY */" and lint_on around source to disable this message.
|
||||
*** See the manual before disabling this,
|
||||
else you may end up with different sim results.
|
||||
|
@ -1,3 +1,5 @@
|
||||
%Warning-WIDTH: t/t_lint_literal_bad.v:9: Value too large for 8 bit number: 256
|
||||
localparam the_localparam = 8'd256;
|
||||
^~~~~~
|
||||
... Use "/* verilator lint_off WIDTH */" and lint_on around source to disable this message.
|
||||
%Error: Exiting due to
|
||||
|
Some files were not shown because too many files have changed in this diff Show More
Loading…
Reference in New Issue
Block a user