Merge branch 'master' into develop-v5

This commit is contained in:
Wilson Snyder 2022-08-30 00:20:21 -04:00
commit 819e8741cc
87 changed files with 5612 additions and 391 deletions

View File

@ -34,7 +34,9 @@ Verilator 4.225 devel
* Fix incorrect tristate logic (#3399) [shareefj, Vighnesh Iyer]
* Fix segfault exporting non-existant package (#3535).
* Fix case statement comparing string literal (#3544). [Gustav Svensk]
* Fix --hierarchical with order-based pin connections (#3583). [Kelin9298]
* Improve Verilation speed with --threads on large designs. [Geza Lore]
* Rename trace rolloverSize() (#3570).
Verilator 4.224 2022-06-19

View File

@ -118,6 +118,7 @@ Tomasz Gorochowik
Tymoteusz Blazejczyk
Udi Finkelstein
Unai Martinez-Corral
Varun Koyyalagunta
Vassilis Papaefstathiou
Veripool API Bot
Victor Besyakov

View File

@ -31,7 +31,7 @@
void VerilatedFstSc::open(const char* filename) {
if (!sc_core::sc_get_curr_simcontext()->elaboration_done()) {
vl_fatal(__FILE__, __LINE__, "VerilatedFstSc",
("%Error: VerilatedFstSc::open(\"" + std::string(filename)
("%Error: VerilatedFstSc::open(\"" + std::string{filename}
+ "\") is called before sc_core::sc_start(). "
"Run sc_core::sc_start(sc_core::SC_ZERO_TIME) before opening a wave file.")
.c_str());

View File

@ -120,13 +120,13 @@ void VerilatedVcd::open(const char* filename) VL_MT_SAFE_EXCLUDES(m_mutex) {
// Set member variables
m_filename = filename; // "" is ok, as someone may overload open
openNextImp(m_rolloverMB != 0);
openNextImp(m_rolloverSize != 0);
if (!isOpen()) return;
dumpHeader();
// When using rollover, the first chunk contains the header only.
if (m_rolloverMB) openNextImp(true);
if (m_rolloverSize) openNextImp(true);
}
void VerilatedVcd::openNext(bool incFilename) VL_MT_SAFE_EXCLUDES(m_mutex) {
@ -180,7 +180,7 @@ void VerilatedVcd::openNextImp(bool incFilename) {
}
bool VerilatedVcd::preChangeDump() {
if (VL_UNLIKELY(m_rolloverMB && m_wroteBytes > m_rolloverMB)) openNextImp(true);
if (VL_UNLIKELY(m_rolloverSize && m_wroteBytes > m_rolloverSize)) openNextImp(true);
return isOpen();
}

View File

@ -50,7 +50,7 @@ private:
bool m_fileNewed; // m_filep needs destruction
bool m_isOpen = false; // True indicates open file
std::string m_filename; // Filename we're writing to (if open)
uint64_t m_rolloverMB = 0; // MB of file size to rollover at
uint64_t m_rolloverSize = 0; // File size to rollover at
int m_modDepth = 0; // Depth of module hierarchy
char* m_wrBufp; // Output buffer
@ -124,8 +124,8 @@ public:
~VerilatedVcd();
// ACCESSORS
// Set size in megabytes after which new file should be created
void rolloverMB(uint64_t rolloverMB) { m_rolloverMB = rolloverMB; }
// Set size in bytes after which new file should be created.
void rolloverSize(uint64_t size) { m_rolloverSize = size; }
// METHODS - All must be thread safe
// Open the file; call isOpen() to see if errors
@ -271,8 +271,12 @@ public:
/// The header is only in the first file created, this allows
/// "cat" to be used to combine the header plus any number of data files.
void openNext(bool incFilename = true) VL_MT_SAFE { m_sptrace.openNext(incFilename); }
/// Set size in megabytes after which new file should be created
void rolloverMB(size_t rolloverMB) VL_MT_SAFE { m_sptrace.rolloverMB(rolloverMB); }
/// Set size in bytes after which new file should be created
/// This will create a header file, followed by each separate file
/// which might be larger than the given size (due to chunking and
/// alignment to a start of a given time's dump). Any file but the
/// first may be removed. Cat files together to create viewable vcd.
void rolloverSize(size_t size) VL_MT_SAFE { m_sptrace.rolloverSize(size); }
/// Close dump
void close() VL_MT_SAFE { m_sptrace.close(); }
/// Flush dump

View File

@ -31,7 +31,7 @@
void VerilatedVcdSc::open(const char* filename) {
if (!sc_core::sc_get_curr_simcontext()->elaboration_done()) {
vl_fatal(__FILE__, __LINE__, "VerilatedVcdSc",
("%Error: VerilatedVcdSc::open(\"" + std::string(filename)
("%Error: VerilatedVcdSc::open(\"" + std::string{filename}
+ "\") is called before sc_core::sc_start(). "
"Run sc_core::sc_start(sc_core::SC_ZERO_TIME) before opening a wave file.")
.c_str());

View File

@ -1619,7 +1619,7 @@ public:
static string dedotName(const string& namein); // Name with dots removed
static string prettyName(const string& namein); // Name for printing out to the user
static string prettyNameQ(const string& namein) { // Quoted pretty name (for errors)
return string("'") + prettyName(namein) + "'";
return std::string{"'"} + prettyName(namein) + "'";
}
static string
encodeName(const string& namein); // Encode user name into internal C representation

View File

@ -481,7 +481,7 @@ string AstVar::cPubArgType(bool named, bool forReturn) const {
}
} else {
// Newer internal-compatible types
arg += dtypep()->cType((named ? name() : string{}), true, isRef);
arg += dtypep()->cType((named ? name() : std::string{}), true, isRef);
}
return arg;
}

View File

@ -3244,8 +3244,7 @@ public:
AstNodeModule* classOrPackagep() const {
AstNode* foundp = m_classOrPackageNodep;
while (auto* const anodep = VN_CAST(foundp, Typedef)) foundp = anodep->subDTypep();
while (auto* const anodep = VN_CAST(foundp, ClassRefDType))
foundp = anodep->classOrPackagep();
if (auto* const anodep = VN_CAST(foundp, ClassRefDType)) foundp = anodep->classp();
return VN_CAST(foundp, NodeModule);
}
AstPackage* packagep() const { return VN_CAST(classOrPackageNodep(), Package); }

View File

@ -51,7 +51,7 @@ static void makeToString(AstClass* nodep) {
funcp->isStatic(false);
funcp->protect(false);
AstNode* const exprp
= new AstCMath{nodep->fileline(), R"(std::string("'{") + to_string_middle() + "}")", 0};
= new AstCMath{nodep->fileline(), R"(std::string{"'{"} + to_string_middle() + "}")", 0};
exprp->dtypeSetString();
funcp->addStmtsp(new AstCReturn{nodep->fileline(), exprp});
nodep->addStmtp(funcp);
@ -86,7 +86,7 @@ static void makeToStringMiddle(AstClass* nodep) {
}
}
}
if (nodep->extendsp() && nodep->extendsp()->classp()->user1()) {
if (nodep->extendsp()) {
string stmt = "out += ";
if (!comma.empty()) stmt += "\", \"+ ";
// comma = ", "; // Nothing further so not needed

View File

@ -2379,6 +2379,7 @@ private:
iterateChildren(nodep);
}
}
virtual void visit(AstClassOrPackageRef* nodep) override { iterateChildren(nodep); }
virtual void visit(AstPin* nodep) override { iterateChildren(nodep); }
void replaceLogEq(AstLogEq* nodep) {

View File

@ -455,9 +455,9 @@ void EmitCFunc::emitDereference(const string& pointer) {
void EmitCFunc::emitCvtPackStr(AstNode* nodep) {
if (const AstConst* const constp = VN_CAST(nodep, Const)) {
putbs("std::string(");
putbs("std::string{");
putsQuoted(constp->num().toString());
puts(")");
puts("}");
} else {
putbs("VL_CVT_PACK_STR_N");
emitIQW(nodep);
@ -486,9 +486,9 @@ void EmitCFunc::emitConstant(AstConst* nodep, AstVarRef* assigntop, const string
if (nodep->num().isFourState()) {
nodep->v3warn(E_UNSUPPORTED, "Unsupported: 4-state numbers in this context");
} else if (nodep->num().isString()) {
putbs("std::string(");
putbs("std::string{");
putsQuoted(nodep->num().toString());
puts(")");
puts("}");
} else if (nodep->isWide()) {
int upWidth = nodep->num().widthMin();
int chunks = 0;

View File

@ -318,8 +318,8 @@ class EmitCImp final : EmitCFunc {
puts(" \"lineno\",lineno,");
puts(" \"column\",column,\n");
// Need to move hier into scopes and back out if do this
// puts( "\"hier\",std::string(vlSymsp->name())+hierp,");
puts("\"hier\",std::string(name())+hierp,");
// puts( "\"hier\",std::string{vlSymsp->name()} + hierp,");
puts("\"hier\",std::string{name()} + hierp,");
puts(" \"page\",pagep,");
puts(" \"comment\",commentp,");
puts(" (linescovp[0] ? \"linescov\" : \"\"), linescovp);\n");

View File

@ -62,6 +62,7 @@ private:
puts("int main(int argc, char** argv, char**) {\n");
puts("// Setup context, defaults, and parse command line\n");
puts("Verilated::debug(0);\n");
if (v3Global.opt.trace()) puts("Verilated::traceEverOn(true);\n");
puts("const std::unique_ptr<VerilatedContext> contextp{new VerilatedContext};\n");
puts("contextp->commandArgs(argc, argv);\n");
puts("\n");

View File

@ -690,7 +690,35 @@ void EmitCSyms::emitSymImp() {
puts("_vm_pgoProfiler.write(\"" + topClassName()
+ "\", _vm_contextp__->profVltFilename());\n");
}
puts("}\n\n");
puts("}\n");
if (v3Global.needTraceDumper()) {
if (!optSystemC()) {
puts("\nvoid " + symClassName() + "::_traceDump() {\n");
// Caller checked for __Vm_dumperp non-nullptr
puts("const VerilatedLockGuard lock(__Vm_dumperMutex);\n");
puts("__Vm_dumperp->dump(VL_TIME_Q());\n");
puts("}\n");
}
puts("\nvoid " + symClassName() + "::_traceDumpOpen() {\n");
puts("const VerilatedLockGuard lock(__Vm_dumperMutex);\n");
puts("if (VL_UNLIKELY(!__Vm_dumperp)) {\n");
puts("__Vm_dumperp = new " + v3Global.opt.traceClassLang() + "();\n");
puts("__Vm_modelp->trace(__Vm_dumperp, 0, 0);\n");
puts("std::string dumpfile = _vm_contextp__->dumpfileCheck();\n");
puts("__Vm_dumperp->open(dumpfile.c_str());\n");
puts("__Vm_dumping = true;\n");
puts("}\n");
puts("}\n");
puts("\nvoid " + symClassName() + "::_traceDumpClose() {\n");
puts("const VerilatedLockGuard lock(__Vm_dumperMutex);\n");
puts("__Vm_dumping = false;\n");
puts("VL_DO_CLEAR(delete __Vm_dumperp, __Vm_dumperp = nullptr);\n");
puts("}\n");
}
puts("\n");
// Constructor
puts(symClassName() + "::" + symClassName()
@ -940,33 +968,6 @@ void EmitCSyms::emitSymImp() {
m_ofpBase->puts("}\n");
if (v3Global.needTraceDumper()) {
if (!optSystemC()) {
puts("\nvoid " + symClassName() + "::_traceDump() {\n");
// Caller checked for __Vm_dumperp non-nullptr
puts("const VerilatedLockGuard lock(__Vm_dumperMutex);\n");
puts("__Vm_dumperp->dump(VL_TIME_Q());\n");
puts("}\n");
}
puts("\nvoid " + symClassName() + "::_traceDumpOpen() {\n");
puts("const VerilatedLockGuard lock(__Vm_dumperMutex);\n");
puts("if (VL_UNLIKELY(!__Vm_dumperp)) {\n");
puts("__Vm_dumperp = new " + v3Global.opt.traceClassLang() + "();\n");
puts("__Vm_modelp->trace(__Vm_dumperp, 0, 0);\n");
puts("std::string dumpfile = _vm_contextp__->dumpfileCheck();\n");
puts("__Vm_dumperp->open(dumpfile.c_str());\n");
puts("__Vm_dumping = true;\n");
puts("}\n");
puts("}\n");
puts("\nvoid " + symClassName() + "::_traceDumpClose() {\n");
puts("const VerilatedLockGuard lock(__Vm_dumperMutex);\n");
puts("__Vm_dumping = false;\n");
puts("VL_DO_CLEAR(delete __Vm_dumperp, __Vm_dumperp = nullptr);\n");
puts("}\n");
}
closeSplit();
m_ofp = nullptr;
VL_DO_CLEAR(delete m_ofpBase, m_ofpBase = nullptr);

View File

@ -208,7 +208,7 @@ class EmitVBaseVisitor VL_NOT_FINAL : public EmitCBaseVisitor {
putqs(nodep, "end\n");
}
virtual void visit(AstComment* nodep) override {
puts(string("// ") + nodep->name() + "\n");
puts(std::string{"// "} + nodep->name() + "\n");
iterateChildrenConst(nodep);
}
virtual void visit(AstContinue*) override {
@ -716,7 +716,7 @@ class EmitVBaseVisitor VL_NOT_FINAL : public EmitCBaseVisitor {
virtual void visit(AstCell*) override {} // Handled outside the Visit class
// Default
virtual void visit(AstNode* nodep) override {
puts(string("\n???? // ") + nodep->prettyTypeName() + "\n");
puts(std::string{"\n???? // "} + nodep->prettyTypeName() + "\n");
iterateChildrenConst(nodep);
// Not v3fatalSrc so we keep processing
if (!m_suppressUnknown) {
@ -749,8 +749,8 @@ class EmitVFileVisitor final : public EmitVBaseVisitor {
public:
EmitVFileVisitor(AstNode* nodep, V3OutFile* ofp, bool trackText, bool suppressUnknown)
: EmitVBaseVisitor{suppressUnknown, nullptr} {
m_ofp = ofp;
: EmitVBaseVisitor{suppressUnknown, nullptr}
, m_ofp{ofp} {
m_trackText = trackText;
iterate(nodep);
}
@ -801,7 +801,7 @@ class EmitVPrefixedFormatter final : public V3OutFormatter {
m_os << " ";
m_os << m_prefix;
}
m_column++;
++m_column;
m_os << chr;
}
}
@ -887,6 +887,6 @@ void V3EmitV::emitvFiles() {
void V3EmitV::debugEmitV(const string& filename) {
UINFO(2, __FUNCTION__ << ": " << endl);
V3OutVFile of(filename);
V3OutVFile of{filename};
{ EmitVFileVisitor{v3Global.rootp(), &of, true, true}; }
}

View File

@ -654,11 +654,15 @@ bool V3OutFormatter::tokenEnd(const char* cp) {
|| tokenMatch(cp, "endtask"));
}
bool V3OutFormatter::tokenNotStart(const char* cp) {
return (tokenMatch(cp, "export") || tokenMatch(cp, "import"));
}
int V3OutFormatter::endLevels(const char* strg) {
int levels = m_indentLevel;
{
const char* cp = strg;
while (isspace(*cp)) cp++;
while (isspace(*cp)) ++cp;
switch (*cp) {
case '\n': // Newlines.. No need for whitespace before it
return 0;
@ -668,12 +672,12 @@ int V3OutFormatter::endLevels(const char* strg) {
{
// label/public/private: Deindent by 2 spaces
const char* mp = cp;
for (; isalnum(*mp); mp++) {}
for (; isalnum(*mp); ++mp) {}
if (mp[0] == ':' && mp[1] != ':') return (levels - m_blockIndent / 2);
}
}
// We want "} else {" to be one level to the left of normal
for (const char* cp = strg; *cp; cp++) {
for (const char* cp = strg; *cp; ++cp) {
switch (*cp) {
case '}':
case ')': levels -= m_blockIndent; break;
@ -702,17 +706,19 @@ void V3OutFormatter::puts(const char* strg) {
putsNoTracking(indentSpaces(endLevels(strg)));
m_prependIndent = false;
}
bool notstart = false;
bool wordstart = true;
bool equalsForBracket = false; // Looking for "= {"
for (const char* cp = strg; *cp; cp++) {
for (const char* cp = strg; *cp; ++cp) {
putcNoTracking(*cp);
if (isalpha(*cp)) {
if (wordstart && m_lang == LA_VERILOG && tokenStart(cp)) indentInc();
if (wordstart && m_lang == LA_VERILOG && tokenNotStart(cp)) notstart = true;
if (wordstart && m_lang == LA_VERILOG && !notstart && tokenStart(cp)) indentInc();
if (wordstart && m_lang == LA_VERILOG && tokenEnd(cp)) indentDec();
}
switch (*cp) {
case '\n':
m_lineno++;
++m_lineno;
wordstart = true;
if (cp[1] == '\0') {
// Add the indent later, may be a indentInc/indentDec
@ -733,7 +739,7 @@ void V3OutFormatter::puts(const char* strg) {
if (m_lang == LA_C || m_lang == LA_VERILOG) {
if (cp > strg && cp[-1] == '/' && !m_inStringLiteral) {
// Output ignoring contents to EOL
cp++;
++cp;
while (*cp && cp[1] && cp[1] != '\n') putcNoTracking(*cp++);
if (*cp) putcNoTracking(*cp);
}
@ -833,7 +839,7 @@ void V3OutFormatter::putcNoTracking(char chr) {
}
switch (chr) {
case '\n':
m_lineno++;
++m_lineno;
m_column = 0;
m_nobreak = true;
break;
@ -841,9 +847,9 @@ void V3OutFormatter::putcNoTracking(char chr) {
case ' ':
case '(':
case '|':
case '&': m_column++; break;
case '&': ++m_column; break;
default:
m_column++;
++m_column;
m_nobreak = false;
break;
}

View File

@ -156,9 +156,6 @@ public:
puts(strg);
}
bool exceededWidth() const { return m_column > m_commaWidth; }
bool tokenMatch(const char* cp, const char* cmp);
bool tokenStart(const char* cp);
bool tokenEnd(const char* cp);
void indentInc() { m_indentLevel += m_blockIndent; }
void indentDec() {
m_indentLevel -= m_blockIndent;
@ -175,6 +172,10 @@ public:
static string indentSpaces(int num);
// Add escaped characters to strings
static string quoteNameControls(const string& namein, Language lang = LA_C);
static bool tokenMatch(const char* cp, const char* cmp);
static bool tokenNotStart(const char* cp); // Import/export meaning no endfunction
static bool tokenStart(const char* cp);
static bool tokenEnd(const char* cp);
// CALLBACKS - MUST OVERRIDE
virtual void putcOutput(char chr) = 0;

View File

@ -166,7 +166,7 @@ string FileLine::xmlDetailedLocation() const {
}
string FileLine::lineDirectiveStrg(int enterExit) const {
return std::string("`line ") + cvtToStr(lastLineno()) + " \"" + filename() + "\" "
return std::string{"`line "} + cvtToStr(lastLineno()) + " \"" + filename() + "\" "
+ cvtToStr(enterExit) + "\n";
}

View File

@ -471,9 +471,6 @@ private:
}
}
// Accelerate the recursion
// Must do statements to support Generates, math though...
virtual void visit(AstNodeMath*) override {}
virtual void visit(AstNode* nodep) override { iterateChildren(nodep); }
// METHODS

View File

@ -1006,7 +1006,8 @@ class LinkDotFindVisitor final : public VNVisitor {
m_classOrPackagep = VN_AS(m_curSymp->nodep(), Class);
}
// Create symbol table for the task's vars
const string name = string{nodep->isExternProto() ? "extern " : ""} + nodep->name();
const string name
= std::string{nodep->isExternProto() ? "extern " : ""} + nodep->name();
m_curSymp = m_statep->insertBlock(m_curSymp, name, nodep, m_classOrPackagep);
m_curSymp->fallbackp(upSymp);
// Convert the func's range to the output variable
@ -1988,6 +1989,16 @@ private:
}
}
bool isParamedClassRef(const AstNode* nodep) {
if (const auto* classRefp = VN_CAST(nodep, ClassOrPackageRef)) {
if (classRefp->paramsp()) return true;
const auto* classp = classRefp->classOrPackageNodep();
while (const auto* typedefp = VN_CAST(classp, Typedef)) classp = typedefp->subDTypep();
return VN_IS(classp, ClassRefDType) && VN_AS(classp, ClassRefDType)->paramsp();
}
return false;
}
// VISITs
virtual void visit(AstNetlist* nodep) override {
// Recurse..., backward as must do packages before using packages
@ -2172,11 +2183,17 @@ private:
// if (!start) { nodep->lhsp()->v3error("Package reference may not be embedded in
// dotted reference"); m_ds.m_dotErr=true; }
m_ds.m_dotPos = DP_PACKAGE;
iterateAndNextNull(nodep->lhsp());
} else {
m_ds.m_dotPos = DP_SCOPE;
iterateAndNextNull(nodep->lhsp());
// if (debug() >= 9) nodep->dumpTree("-dot-lho: ");
}
if (m_statep->forPrimary() && isParamedClassRef(nodep->lhsp())) {
// Dots of paramed classes will be linked after deparametrization
m_ds.m_dotPos = DP_NONE;
return;
}
if (m_ds.m_unresolved
&& (VN_IS(nodep->lhsp(), CellRef) || VN_IS(nodep->lhsp(), CellArrayRef))) {
m_ds.m_unlinkedScopep = nodep->lhsp();
@ -2517,13 +2534,24 @@ private:
if (start) m_ds = lastStates;
}
virtual void visit(AstClassOrPackageRef* nodep) override {
UINFO(9, " linkClassOrPackageRef " << m_ds.ascii() << " n=" << nodep << endl);
if (m_ds.m_dotPos == DP_PACKAGE) {
// Already under dot, so this is {ClassOrPackage} Dot {ClassOrPackage}
// m_ds.m_dotText communicates the cell prefix between stages
m_ds.m_dotPos = DP_PACKAGE;
// Class: Recurse inside or cleanup not founds
// checkNoDot not appropriate, can be under a dot
AstNode::user5ClearTree();
UASSERT_OBJ(m_statep->forPrimary() || nodep->classOrPackagep(), nodep,
"ClassRef has unlinked class");
UASSERT_OBJ(m_statep->forPrimary() || !nodep->paramsp(), nodep,
"class reference parameter not removed by V3Param");
VL_RESTORER(m_ds);
VL_RESTORER(m_pinSymp);
{
// ClassRef's have pins, so track
if (nodep->classOrPackagep()) {
m_pinSymp = m_statep->getNodeSym(nodep->classOrPackagep());
}
m_ds.init(m_curSymp);
UINFO(4, "(Backto) Link ClassOrPackageRef: " << nodep << endl);
iterateChildren(nodep);
}
// TODO we don't iterate pins yet, as class parameters are not supported
}
virtual void visit(AstVarRef* nodep) override {

View File

@ -34,12 +34,12 @@ void test(const string& lhss, const string& op, const string& rhss, const string
char* r1 = strdup(rhss.c_str());
char* e1 = strdup(exps.c_str());
const FileLine fl = new FileLine(FileLine::builtInFinename());
const FileLine fl = new FileLine{FileLine::builtInFinename()};
V3Number lhnum(fl, l1);
V3Number rhnum(fl, r1);
V3Number expnum(fl, e1);
V3Number gotnum(fl, expnum.width());
V3Number lhnum{fl, l1};
V3Number rhnum{fl, r1};
V3Number expnum{fl, e1};
V3Number gotnum{fl, expnum.width()};
if (op == "redOr") {
gotnum.opRedOr(lhnum);

View File

@ -140,7 +140,7 @@ V3OptionParser::ActionIfs* V3OptionParser::find(const char* optp) {
if (act.second->isOnOffAllowed()) { // Find starts with "-no"
if (const char* const nop
= VString::startsWith(optp, "-no") ? (optp + strlen("-no")) : nullptr) {
if (act.first == nop || act.first == (string{"-"} + nop)) {
if (act.first == nop || act.first == (std::string{"-"} + nop)) {
return act.second.get();
}
}

View File

@ -1447,7 +1447,7 @@ void V3Options::parseOptsList(FileLine* fl, const string& optdir, int argc, char
});
DECL_OPTION("-Wno-", CbPartialMatch, [fl, &parser](const char* optp) {
if (!FileLine::globalWarnOff(optp, true)) {
const string fullopt = string{"-Wno-"} + optp;
const string fullopt = std::string{"-Wno-"} + optp;
fl->v3fatal("Unknown warning specified: " << fullopt
<< parser.getSuggestion(fullopt.c_str()));
}
@ -1467,7 +1467,7 @@ void V3Options::parseOptsList(FileLine* fl, const string& optdir, int argc, char
const V3ErrorCode code{optp};
if (code == V3ErrorCode::EC_ERROR) {
if (!isFuture(optp)) {
const string fullopt = string{"-Wwarn-"} + optp;
const string fullopt = std::string{"-Wwarn-"} + optp;
fl->v3fatal("Unknown warning specified: "
<< fullopt << parser.getSuggestion(fullopt.c_str()));
}

View File

@ -557,15 +557,16 @@ class ParamProcessor final {
if ((newmodp->level() - srcModp->level()) >= (v3Global.opt.moduleRecursionDepth() - 2)) {
cellp->v3error("Exceeded maximum --module-recursion-depth of "
<< v3Global.opt.moduleRecursionDepth());
return;
}
// Keep tree sorted by level. Note: Different parametrizations of the same recursive module
// end up with the same level, which we will need to fix up at the end, as we do not know
// up front how recursive modules are expanded, and a later expansion might re-use an
// earlier expansion (see t_recursive_module_bug_2).
AstNodeModule* insertp = srcModp;
while (insertp->nextp()
AstNode* insertp = srcModp;
while (VN_IS(insertp->nextp(), NodeModule)
&& VN_AS(insertp->nextp(), NodeModule)->level() <= newmodp->level()) {
insertp = VN_AS(insertp->nextp(), NodeModule);
insertp = insertp->nextp();
}
insertp->addNextHere(newmodp);
@ -699,9 +700,9 @@ class ParamProcessor final {
}
}
void cellInterfaceCleanup(AstCell* nodep, AstNodeModule* srcModp, string& longnamer,
void cellInterfaceCleanup(AstPin* pinsp, AstNodeModule* srcModp, string& longnamer,
bool& any_overridesr, IfaceRefRefs& ifaceRefRefs) {
for (AstPin* pinp = nodep->pinsp(); pinp; pinp = VN_AS(pinp->nextp(), Pin)) {
for (AstPin* pinp = pinsp; pinp; pinp = VN_AS(pinp->nextp(), Pin)) {
const AstVar* const modvarp = pinp->modVarp();
if (modvarp->isIfaceRef()) {
AstIfaceRefDType* portIrefp = VN_CAST(modvarp->subDTypep(), IfaceRefDType);
@ -761,8 +762,73 @@ class ParamProcessor final {
}
}
bool nodeDeparamCommon(AstNode* nodep, AstNodeModule*& srcModpr, AstPin* paramsp,
AstPin* pinsp, bool any_overrides) {
// Make sure constification worked
// Must be a separate loop, as constant conversion may have changed some pointers.
// if (debug()) nodep->dumpTree(cout, "-cel2: ");
string longname = srcModpr->name() + "_";
if (debug() > 8 && paramsp) paramsp->dumpTreeAndNext(cout, "-cellparams: ");
if (srcModpr->hierBlock()) {
longname = parameterizedHierBlockName(srcModpr, paramsp);
any_overrides = longname != srcModpr->name();
} else {
for (AstPin* pinp = paramsp; pinp; pinp = VN_AS(pinp->nextp(), Pin)) {
cellPinCleanup(nodep, pinp, srcModpr, longname /*ref*/, any_overrides /*ref*/);
}
}
IfaceRefRefs ifaceRefRefs;
cellInterfaceCleanup(pinsp, srcModpr, longname /*ref*/, any_overrides /*ref*/,
ifaceRefRefs /*ref*/);
if (!any_overrides) {
UINFO(8, "Cell parameters all match original values, skipping expansion.\n");
} else if (AstNodeModule* const paramedModp
= m_hierBlocks.findByParams(srcModpr->name(), paramsp, m_modp)) {
paramedModp->dead(false);
// We need to relink the pins to the new module
relinkPinsByName(pinsp, paramedModp);
srcModpr = paramedModp;
} else {
const string newname
= srcModpr->hierBlock() ? longname : moduleCalcName(srcModpr, longname);
const ModInfo* const modInfop
= moduleFindOrClone(srcModpr, nodep, paramsp, newname, ifaceRefRefs);
// We need to relink the pins to the new module
relinkPinsByName(pinsp, modInfop->m_modp);
UINFO(8, " Done with " << modInfop->m_modp << endl);
srcModpr = modInfop->m_modp;
}
// Delete the parameters from the cell; they're not relevant any longer.
if (paramsp) paramsp->unlinkFrBackWithNext()->deleteTree();
return any_overrides;
}
void cellDeparam(AstCell* nodep, AstNodeModule*& srcModpr) {
// Must always clone __Vrcm (recursive modules)
if (nodeDeparamCommon(nodep, srcModpr, nodep->paramsp(), nodep->pinsp(),
nodep->recursive())) {
nodep->modp(srcModpr);
nodep->modName(srcModpr->name());
}
nodep->recursive(false);
}
void classRefDeparam(AstClassOrPackageRef* nodep, AstNodeModule*& srcModpr) {
if (nodeDeparamCommon(nodep, srcModpr, nodep->paramsp(), nullptr, false))
nodep->classOrPackagep(srcModpr);
}
void classRefDeparam(AstClassRefDType* nodep, AstNodeModule*& srcModpr) {
if (nodeDeparamCommon(nodep, srcModpr, nodep->paramsp(), nullptr, false))
nodep->classp(VN_AS(srcModpr, Class));
}
public:
void cellDeparam(AstCell* nodep, AstNodeModule* modp, const string& someInstanceName) {
void nodeDeparam(AstNode* nodep, AstNodeModule*& srcModpr, AstNodeModule* modp,
const string& someInstanceName) {
m_modp = modp;
// Cell: Check for parameters in the instantiation.
// We always run this, even if no parameters, as need to look for interfaces,
@ -772,57 +838,18 @@ public:
if (debug() >= 10) nodep->dumpTree(cout, "-cell: ");
// Evaluate all module constants
V3Const::constifyParamsEdit(nodep);
AstNodeModule* const srcModp = nodep->modp();
srcModp->someInstanceName(someInstanceName + "." + nodep->name());
srcModpr->someInstanceName(someInstanceName + "." + nodep->name());
// Make sure constification worked
// Must be a separate loop, as constant conversion may have changed some pointers.
// if (debug()) nodep->dumpTree(cout, "-cel2: ");
string longname = srcModp->name() + "_";
bool any_overrides = false;
// Must always clone __Vrcm (recursive modules)
if (nodep->recursive()) any_overrides = true;
if (debug() > 8 && nodep->paramsp())
nodep->paramsp()->dumpTreeAndNext(cout, "-cellparams: ");
if (srcModp->hierBlock()) {
longname = parameterizedHierBlockName(srcModp, nodep->paramsp());
any_overrides = longname != srcModp->name();
if (auto* cellp = VN_CAST(nodep, Cell)) {
cellDeparam(cellp, srcModpr);
} else if (auto* classRefp = VN_CAST(nodep, ClassRefDType)) {
classRefDeparam(classRefp, srcModpr);
} else if (auto* classRefp = VN_CAST(nodep, ClassOrPackageRef)) {
classRefDeparam(classRefp, srcModpr);
} else {
for (AstPin* pinp = nodep->paramsp(); pinp; pinp = VN_AS(pinp->nextp(), Pin)) {
cellPinCleanup(nodep, pinp, srcModp, longname /*ref*/, any_overrides /*ref*/);
}
}
IfaceRefRefs ifaceRefRefs;
cellInterfaceCleanup(nodep, srcModp, longname /*ref*/, any_overrides /*ref*/,
ifaceRefRefs /*ref*/);
if (!any_overrides) {
UINFO(8, "Cell parameters all match original values, skipping expansion.\n");
} else if (AstNodeModule* const paramedModp
= m_hierBlocks.findByParams(srcModp->name(), nodep->paramsp(), m_modp)) {
nodep->modp(paramedModp);
nodep->modName(paramedModp->name());
paramedModp->dead(false);
// We need to relink the pins to the new module
relinkPinsByName(nodep->pinsp(), paramedModp);
} else {
const string newname
= srcModp->hierBlock() ? longname : moduleCalcName(srcModp, longname);
const ModInfo* const modInfop
= moduleFindOrClone(srcModp, nodep, nodep->paramsp(), newname, ifaceRefRefs);
// Have child use this module instead.
nodep->modp(modInfop->m_modp);
nodep->modName(newname);
// We need to relink the pins to the new module
relinkPinsByName(nodep->pinsp(), modInfop->m_modp);
UINFO(8, " Done with " << modInfop->m_modp << endl);
nodep->v3fatalSrc("Expected module parametrization");
}
nodep->recursive(false);
// Delete the parameters from the cell; they're not relevant any longer.
if (nodep->paramsp()) nodep->paramsp()->unlinkFrBackWithNext()->deleteTree();
UINFO(8, " Done with " << nodep << endl);
// if (debug() >= 10)
// v3Global.rootp()->dumpTreeFile(v3Global.debugFilename("param-out.tree"));
@ -854,7 +881,8 @@ class ParamVisitor final : public VNVisitor {
bool m_iterateModule = false; // Iterating module body
string m_generateHierName; // Generate portion of hierarchy name
string m_unlinkedTxt; // Text for AstUnlinkedRef
std::deque<AstCell*> m_cellps; // Cells left to process (in current module)
std::multimap<bool, AstNode*> m_cellps; // Cells left to process (in current module)
std::multimap<int, AstNodeModule*> m_workQueue; // Modules left to process
// Map from AstNodeModule to set of all AstNodeModules that instantiates it.
std::unordered_map<AstNodeModule*, std::unordered_set<AstNodeModule*>> m_parentps;
@ -887,32 +915,40 @@ class ParamVisitor final : public VNVisitor {
// Process interface cells, then non-interface cells, which may reference an interface
// cell.
for (bool doInterface : {true, false}) {
for (AstCell* const cellp : m_cellps) {
if (doInterface != VN_IS(cellp->modp(), Iface)) continue;
while (!m_cellps.empty()) {
const auto itm = m_cellps.cbegin();
AstNode* const cellp = itm->second;
m_cellps.erase(itm);
// Visit parameters in the instantiation.
iterateChildren(cellp);
// Update path
string someInstanceName(modp->someInstanceName());
if (const string* const genHierNamep = cellp->user5u().to<string*>()) {
someInstanceName += *genHierNamep;
cellp->user5p(nullptr);
VL_DO_DANGLING(delete genHierNamep, genHierNamep);
}
// Apply parameter specialization
m_processor.cellDeparam(cellp, modp, someInstanceName);
// Add the (now potentially specialized) child module to the work queue
workQueue.emplace(cellp->modp()->level(), cellp->modp());
// Add to the hierarchy registry
m_parentps[cellp->modp()].insert(modp);
AstNodeModule* srcModp = nullptr;
if (const auto* modCellp = VN_CAST(cellp, Cell)) {
srcModp = modCellp->modp();
} else if (const auto* classRefp = VN_CAST(cellp, ClassOrPackageRef)) {
srcModp = classRefp->classOrPackagep();
} else if (const auto* classRefp = VN_CAST(cellp, ClassRefDType)) {
srcModp = classRefp->classp();
} else {
cellp->v3fatalSrc("Expected module parametrization");
}
// Update path
string someInstanceName(modp->someInstanceName());
if (const string* const genHierNamep = cellp->user5u().to<string*>()) {
someInstanceName += *genHierNamep;
cellp->user5p(nullptr);
VL_DO_DANGLING(delete genHierNamep, genHierNamep);
}
// Apply parameter specialization
m_processor.nodeDeparam(cellp, srcModp /* ref */, modp, someInstanceName);
// Add the (now potentially specialized) child module to the work queue
workQueue.emplace(srcModp->level(), srcModp);
// Add to the hierarchy registry
m_parentps[srcModp].insert(modp);
}
m_cellps.clear();
if (workQueue.empty()) std::swap(workQueue, m_workQueue);
} while (!workQueue.empty());
m_iterateModule = false;
@ -930,25 +966,24 @@ class ParamVisitor final : public VNVisitor {
if (modp->level() <= maxParentLevel) modp->level(maxParentLevel + 1);
}
// A generic visitor for cells and class refs
void visitCellOrClassRef(AstNode* nodep, bool isIface) {
// Must do ifaces first, so push to list and do in proper order
string* const genHierNamep = new std::string{m_generateHierName};
nodep->user5p(genHierNamep);
// Visit parameters in the instantiation.
iterateChildren(nodep);
m_cellps.emplace(!isIface, nodep);
}
// VISITORS
virtual void visit(AstNodeModule* nodep) override {
if (nodep->recursiveClone()) nodep->dead(true); // Fake, made for recursive elimination
if (nodep->dead()) return; // Marked by LinkDot (and above)
// Warn on unsupported parametrised class
if (VN_IS(nodep, Class)) {
for (AstNode* stmtp = nodep->stmtsp(); stmtp; stmtp = stmtp->nextp()) {
if (const AstVar* const varp = VN_CAST(stmtp, Var)) {
if (varp->isParam()) {
varp->v3warn(E_UNSUPPORTED, "Unsupported: class parameters");
}
}
}
}
if (m_iterateModule) { // Iterating body
UINFO(4, " MOD-under-MOD. " << nodep << endl);
iterateChildren(nodep);
m_workQueue.emplace(nodep->level(), nodep); // Delay until current module is done
return;
}
@ -961,19 +996,10 @@ class ParamVisitor final : public VNVisitor {
}
virtual void visit(AstCell* nodep) override {
// Must do ifaces first, so push to list and do in proper order
string* const genHierNamep = new string(m_generateHierName);
nodep->user5p(genHierNamep);
m_cellps.push_back(nodep);
}
virtual void visit(AstClassRefDType* nodep) override {
if (nodep->paramsp()) {
nodep->paramsp()->v3warn(E_UNSUPPORTED, "Unsupported: parameterized classes");
pushDeletep(nodep->paramsp()->unlinkFrBackWithNext());
}
iterateChildren(nodep);
visitCellOrClassRef(nodep, VN_IS(nodep->modp(), Iface));
}
virtual void visit(AstClassRefDType* nodep) override { visitCellOrClassRef(nodep, false); }
virtual void visit(AstClassOrPackageRef* nodep) override { visitCellOrClassRef(nodep, false); }
// Make sure all parameters are constantified
virtual void visit(AstVar* nodep) override {

View File

@ -67,7 +67,7 @@ public:
VSymEnt* findNewTable(AstNode* nodep) {
if (!nodep->user4p()) {
VSymEnt* const symsp = new VSymEnt(&m_syms, nodep);
VSymEnt* const symsp = new VSymEnt{&m_syms, nodep};
nodep->user4p(symsp);
}
return getTable(nodep);
@ -87,7 +87,7 @@ public:
void reinsert(AstNode* nodep, VSymEnt* parentp, string name) {
if (!parentp) parentp = symCurrentp();
if (name == "") { // New name with space in name so can't collide with users
name = string(" anon") + nodep->type().ascii() + cvtToStr(++s_anonNum);
name = std::string{" anon"} + nodep->type().ascii() + cvtToStr(++s_anonNum);
}
parentp->reinsert(name, findNewTable(nodep));
}

View File

@ -618,7 +618,7 @@ void V3PreLex::scanNewFile(FileLine* filelinep) {
void V3PreLex::scanBytes(const string& str) {
// Note buffers also appended in ::scanBytesBack
// Not "m_buffers.push_front(string{strp,len})" as we need a `define
// Not "m_buffers.push_front(std::string{strp,len})" as we need a `define
// to take effect immediately, in the middle of the current buffer
// Also we don't use scan_bytes that would set yy_fill_buffer
// which would force Flex to bypass our YY_INPUT routine.

View File

@ -328,7 +328,7 @@ FileLine* V3PreProcImp::defFileline(const string& name) {
void V3PreProcImp::define(FileLine* fl, const string& name, const string& value,
const string& params, bool cmdline) {
UINFO(4, "DEFINE '" << name << "' as '" << value << "' params '" << params << "'" << endl);
if (!V3LanguageWords::isKeyword(string("`") + name).empty()) {
if (!V3LanguageWords::isKeyword(std::string{"`"} + name).empty()) {
fl->v3error("Attempting to define built-in directive: '`" << name
<< "' (IEEE 1800-2017 22.5.1)");
} else {
@ -886,7 +886,7 @@ void V3PreProcImp::dumpDefines(std::ostream& os) {
void V3PreProcImp::candidateDefines(VSpellCheck* spellerp) {
for (DefinesMap::const_iterator it = m_defines.begin(); it != m_defines.end(); ++it) {
spellerp->pushCandidate(string("`") + it->first);
spellerp->pushCandidate(std::string{"`"} + it->first);
}
}
@ -1106,7 +1106,7 @@ int V3PreProcImp::getStateToken() {
// IE, `ifdef `MACRO(x): Substitute and come back here when state pops.
break;
} else {
error(string("Expecting define name. Found: ") + tokenName(tok) + "\n");
error(std::string{"Expecting define name. Found: "} + tokenName(tok) + "\n");
goto next_tok;
}
}
@ -1127,7 +1127,7 @@ int V3PreProcImp::getStateToken() {
goto next_tok;
}
} else {
error(string("Expecting define formal arguments. Found: ") + tokenName(tok)
error(std::string{"Expecting define formal arguments. Found: "} + tokenName(tok)
+ "\n");
goto next_tok;
}
@ -1164,7 +1164,8 @@ int V3PreProcImp::getStateToken() {
define(fileline(), m_lastSym, value, formals, false);
}
} else {
const string msg = string("Bad define text, unexpected ") + tokenName(tok) + "\n";
const string msg
= std::string{"Bad define text, unexpected "} + tokenName(tok) + "\n";
fatalSrc(msg);
}
statePop();
@ -1182,7 +1183,7 @@ int V3PreProcImp::getStateToken() {
fatalSrc("Shouldn't be in DEFPAREN w/o active defref");
}
const VDefineRef* const refp = &(m_defRefs.top());
error(string("Expecting ( to begin argument list for define reference `")
error(std::string{"Expecting ( to begin argument list for define reference `"}
+ refp->name() + "\n");
statePop();
goto next_tok;
@ -1259,7 +1260,8 @@ int V3PreProcImp::getStateToken() {
statePush(ps_STRIFY);
goto next_tok;
} else {
error(string("Expecting ) or , to end argument list for define reference. Found: ")
error(std::string{
"Expecting ) or , to end argument list for define reference. Found: "}
+ tokenName(tok));
statePop();
goto next_tok;
@ -1285,7 +1287,7 @@ int V3PreProcImp::getStateToken() {
break;
} else {
statePop();
error(string("Expecting include filename. Found: ") + tokenName(tok) + "\n");
error(std::string{"Expecting include filename. Found: "} + tokenName(tok) + "\n");
goto next_tok;
}
}
@ -1298,7 +1300,7 @@ int V3PreProcImp::getStateToken() {
statePop();
goto next_tok;
} else {
error(string("Expecting `error string. Found: ") + tokenName(tok) + "\n");
error(std::string{"Expecting `error string. Found: "} + tokenName(tok) + "\n");
statePop();
goto next_tok;
}
@ -1343,7 +1345,7 @@ int V3PreProcImp::getStateToken() {
// multiline "..." without \ escapes.
// The spec is silent about this either way; simulators vary
std::replace(out.begin(), out.end(), '\n', ' ');
unputString(string("\"") + out + "\"");
unputString(std::string{"\""} + out + "\"");
statePop();
goto next_tok;
} else if (tok == VP_EOF) {
@ -1427,7 +1429,7 @@ int V3PreProcImp::getStateToken() {
} else {
// We want final text of `name, but that would cause
// recursion, so use a special character to get it through
unputDefrefString(string("`\032") + name);
unputDefrefString(std::string{"`\032"} + name);
goto next_tok;
}
} else {
@ -1517,7 +1519,7 @@ int V3PreProcImp::getStateToken() {
case VP_DEFFORM: // Handled by state=ps_DEFFORM;
case VP_DEFVALUE: // Handled by state=ps_DEFVALUE;
default: // LCOV_EXCL_LINE
fatalSrc(string("Internal error: Unexpected token ") + tokenName(tok) + "\n");
fatalSrc(std::string{"Internal error: Unexpected token "} + tokenName(tok) + "\n");
break; // LCOV_EXCL_LINE
}
return tok;

View File

@ -66,10 +66,10 @@ private:
// VISITORS
virtual void visit(AstNetlist* nodep) override {
m_vfilep
= new AstVFile(nodep->fileline(), v3Global.opt.makeDir() + "/" + m_libName + ".sv");
= new AstVFile{nodep->fileline(), v3Global.opt.makeDir() + "/" + m_libName + ".sv"};
nodep->addFilesp(m_vfilep);
m_cfilep
= new AstCFile(nodep->fileline(), v3Global.opt.makeDir() + "/" + m_libName + ".cpp");
= new AstCFile{nodep->fileline(), v3Global.opt.makeDir() + "/" + m_libName + ".cpp"};
nodep->addFilesp(m_cfilep);
iterateChildren(nodep);
}
@ -95,7 +95,7 @@ private:
}
void addComment(AstTextBlock* txtp, FileLine* fl, const string& comment) {
txtp->addNodep(new AstComment(fl, comment));
txtp->addNodep(new AstComment{fl, comment});
}
void hashComment(AstTextBlock* txtp, FileLine* fl) {
@ -132,7 +132,7 @@ private:
void createSvFile(FileLine* fl, AstNodeModule* modp) {
// Comments
AstTextBlock* const txtp = new AstTextBlock(fl);
AstTextBlock* const txtp = new AstTextBlock{fl};
addComment(txtp, fl, "Wrapper module for DPI protected library");
addComment(txtp, fl,
"This module requires lib" + m_libName + ".a or lib" + m_libName
@ -142,23 +142,24 @@ private:
" to use DPI libraries\n");
// Module declaration
m_modPortsp = new AstTextBlock(fl, "module " + m_libName + " (\n", false, true);
m_modPortsp = new AstTextBlock{fl, "module " + m_libName + " (\n", false, true};
txtp->addNodep(m_modPortsp);
txtp->addText(fl, ");\n\n");
// Timescale
if (v3Global.opt.hierChild() && v3Global.rootp()->timescaleSpecified()) {
// Emit timescale for hierarhical verilation if input HDL specifies timespec
txtp->addText(fl, string("timeunit ") + modp->timeunit().ascii() + ";\n");
txtp->addText(fl, string("timeprecision ") + +v3Global.rootp()->timeprecision().ascii()
+ ";\n");
txtp->addText(fl, std::string{"timeunit "} + modp->timeunit().ascii() + ";\n");
txtp->addText(fl, std::string{"timeprecision "}
+ +v3Global.rootp()->timeprecision().ascii() + ";\n");
} else {
addComment(txtp, fl,
"Precision of submodule"
" (commented out to avoid requiring timescale on all modules)");
addComment(txtp, fl, string("timeunit ") + v3Global.rootp()->timeunit().ascii() + ";");
addComment(txtp, fl,
string("timeprecision ") + v3Global.rootp()->timeprecision().ascii()
std::string{"timeunit "} + v3Global.rootp()->timeunit().ascii() + ";");
addComment(txtp, fl,
std::string{"timeprecision "} + v3Global.rootp()->timeprecision().ascii()
+ ";\n");
}
@ -170,31 +171,31 @@ private:
txtp->addText(fl, "import \"DPI-C\" function chandle " + m_libName
+ "_protectlib_create(string scope__V);\n\n");
comboComment(txtp, fl);
m_comboPortsp = new AstTextBlock(fl,
m_comboPortsp = new AstTextBlock{fl,
"import \"DPI-C\" function longint " + m_libName
+ "_protectlib_combo_update "
"(\n",
false, true);
false, true};
m_comboPortsp->addText(fl, "chandle handle__V\n");
txtp->addNodep(m_comboPortsp);
txtp->addText(fl, ");\n\n");
seqComment(txtp, fl);
if (m_hasClk) {
m_seqPortsp = new AstTextBlock(fl,
m_seqPortsp = new AstTextBlock{fl,
"import \"DPI-C\" function longint " + m_libName
+ "_protectlib_seq_update"
"(\n",
false, true);
false, true};
m_seqPortsp->addText(fl, "chandle handle__V\n");
txtp->addNodep(m_seqPortsp);
txtp->addText(fl, ");\n\n");
}
comboIgnoreComment(txtp, fl);
m_comboIgnorePortsp = new AstTextBlock(fl,
m_comboIgnorePortsp = new AstTextBlock{fl,
"import \"DPI-C\" function void " + m_libName
+ "_protectlib_combo_ignore"
"(\n",
false, true);
false, true};
m_comboIgnorePortsp->addText(fl, "chandle handle__V\n");
txtp->addNodep(m_comboIgnorePortsp);
txtp->addText(fl, ");\n\n");
@ -225,17 +226,17 @@ private:
if (m_hasClk) txtp->addText(fl, "time last_seq_seqnum__V;\n");
txtp->addText(fl, "\n");
m_comboDeclsp = new AstTextBlock(fl);
m_comboDeclsp = new AstTextBlock{fl};
txtp->addNodep(m_comboDeclsp);
m_seqDeclsp = new AstTextBlock(fl);
m_seqDeclsp = new AstTextBlock{fl};
txtp->addNodep(m_seqDeclsp);
m_tmpDeclsp = new AstTextBlock(fl);
m_tmpDeclsp = new AstTextBlock{fl};
txtp->addNodep(m_tmpDeclsp);
// CPP hash value
addComment(txtp, fl, "Hash value to make sure this file and the corresponding");
addComment(txtp, fl, "library agree");
m_hashValuep = new AstTextBlock(fl, "localparam int protectlib_hash__V = 32'd");
m_hashValuep = new AstTextBlock{fl, "localparam int protectlib_hash__V = 32'd"};
txtp->addNodep(m_hashValuep);
txtp->addText(fl, "\n");
@ -249,11 +250,11 @@ private:
// Combinatorial process
addComment(txtp, fl, "Combinatorialy evaluate changes to inputs");
m_comboParamsp = new AstTextBlock(fl,
"always @(*) begin\n"
m_comboParamsp = new AstTextBlock{fl,
"always @* begin\n"
"last_combo_seqnum__V = "
+ m_libName + "_protectlib_combo_update(\n",
false, true);
false, true};
m_comboParamsp->addText(fl, "handle__V\n");
txtp->addNodep(m_comboParamsp);
txtp->addText(fl, ");\n");
@ -262,37 +263,37 @@ private:
// Sequential process
if (m_hasClk) {
addComment(txtp, fl, "Evaluate clock edges");
m_clkSensp = new AstTextBlock(fl, "always @(", false, true);
m_clkSensp = new AstTextBlock{fl, "always @(", false, true};
txtp->addNodep(m_clkSensp);
txtp->addText(fl, ") begin\n");
m_comboIgnoreParamsp
= new AstTextBlock(fl, m_libName + "_protectlib_combo_ignore(\n", false, true);
= new AstTextBlock{fl, m_libName + "_protectlib_combo_ignore(\n", false, true};
m_comboIgnoreParamsp->addText(fl, "handle__V\n");
txtp->addNodep(m_comboIgnoreParamsp);
txtp->addText(fl, ");\n");
m_seqParamsp = new AstTextBlock(
m_seqParamsp = new AstTextBlock{
fl, "last_seq_seqnum__V <= " + m_libName + "_protectlib_seq_update(\n", false,
true);
true};
m_seqParamsp->addText(fl, "handle__V\n");
txtp->addNodep(m_seqParamsp);
txtp->addText(fl, ");\n");
m_nbAssignsp = new AstTextBlock(fl);
m_nbAssignsp = new AstTextBlock{fl};
txtp->addNodep(m_nbAssignsp);
txtp->addText(fl, "end\n\n");
}
// Select between combinatorial and sequential results
addComment(txtp, fl, "Select between combinatorial and sequential results");
txtp->addText(fl, "always @(*) begin\n");
txtp->addText(fl, "always @* begin\n");
if (m_hasClk) {
m_seqAssignsp = new AstTextBlock(fl, "if (last_seq_seqnum__V > "
"last_combo_seqnum__V) begin\n");
m_seqAssignsp = new AstTextBlock{fl, "if (last_seq_seqnum__V > "
"last_combo_seqnum__V) begin\n"};
txtp->addNodep(m_seqAssignsp);
m_comboAssignsp = new AstTextBlock(fl, "end\nelse begin\n");
m_comboAssignsp = new AstTextBlock{fl, "end\nelse begin\n"};
txtp->addNodep(m_comboAssignsp);
txtp->addText(fl, "end\n");
} else {
m_comboAssignsp = new AstTextBlock(fl, "");
m_comboAssignsp = new AstTextBlock{fl, ""};
txtp->addNodep(m_comboAssignsp);
}
txtp->addText(fl, "end\n\n");
@ -313,7 +314,7 @@ private:
void createCppFile(FileLine* fl) {
// Comments
AstTextBlock* const txtp = new AstTextBlock(fl);
AstTextBlock* const txtp = new AstTextBlock{fl};
addComment(txtp, fl, "Wrapper functions for DPI protected library\n");
// Includes
@ -339,7 +340,7 @@ private:
txtp->addText(fl, "void " + m_libName
+ "_protectlib_check_hash"
"(int protectlib_hash__V) {\n");
m_cHashValuep = new AstTextBlock(fl, "const int expected_hash__V = ");
m_cHashValuep = new AstTextBlock{fl, "const int expected_hash__V = "};
txtp->addNodep(m_cHashValuep);
txtp->addText(fl, /**/ "if (protectlib_hash__V != expected_hash__V) {\n");
txtp->addText(fl, /****/ "fprintf(stderr, \"%%Error: cannot use " + m_libName
@ -360,38 +361,38 @@ private:
// Updates
comboComment(txtp, fl);
m_cComboParamsp = new AstTextBlock(
fl, "long long " + m_libName + "_protectlib_combo_update(\n", false, true);
m_cComboParamsp = new AstTextBlock{
fl, "long long " + m_libName + "_protectlib_combo_update(\n", false, true};
m_cComboParamsp->addText(fl, "void* vhandlep__V\n");
txtp->addNodep(m_cComboParamsp);
txtp->addText(fl, ")\n");
m_cComboInsp = new AstTextBlock(fl, "{\n");
m_cComboInsp = new AstTextBlock{fl, "{\n"};
castPtr(fl, m_cComboInsp);
txtp->addNodep(m_cComboInsp);
m_cComboOutsp = new AstTextBlock(fl, "handlep__V->eval();\n");
m_cComboOutsp = new AstTextBlock{fl, "handlep__V->eval();\n"};
txtp->addNodep(m_cComboOutsp);
txtp->addText(fl, "return handlep__V->m_seqnum++;\n");
txtp->addText(fl, "}\n\n");
if (m_hasClk) {
seqComment(txtp, fl);
m_cSeqParamsp = new AstTextBlock(
fl, "long long " + m_libName + "_protectlib_seq_update(\n", false, true);
m_cSeqParamsp = new AstTextBlock{
fl, "long long " + m_libName + "_protectlib_seq_update(\n", false, true};
m_cSeqParamsp->addText(fl, "void* vhandlep__V\n");
txtp->addNodep(m_cSeqParamsp);
txtp->addText(fl, ")\n");
m_cSeqClksp = new AstTextBlock(fl, "{\n");
m_cSeqClksp = new AstTextBlock{fl, "{\n"};
castPtr(fl, m_cSeqClksp);
txtp->addNodep(m_cSeqClksp);
m_cSeqOutsp = new AstTextBlock(fl, "handlep__V->eval();\n");
m_cSeqOutsp = new AstTextBlock{fl, "handlep__V->eval();\n"};
txtp->addNodep(m_cSeqOutsp);
txtp->addText(fl, "return handlep__V->m_seqnum++;\n");
txtp->addText(fl, "}\n\n");
}
comboIgnoreComment(txtp, fl);
m_cIgnoreParamsp = new AstTextBlock(
fl, "void " + m_libName + "_protectlib_combo_ignore(\n", false, true);
m_cIgnoreParamsp = new AstTextBlock{
fl, "void " + m_libName + "_protectlib_combo_ignore(\n", false, true};
m_cIgnoreParamsp->addText(fl, "void* vhandlep__V\n");
txtp->addNodep(m_cIgnoreParamsp);
txtp->addText(fl, ")\n");
@ -472,7 +473,7 @@ private:
static void addLocalVariable(AstTextBlock* textp, AstVar* varp, const char* suffix) {
AstVar* const newVarp
= new AstVar(varp->fileline(), VVarType::VAR, varp->name() + suffix, varp->dtypep());
= new AstVar{varp->fileline(), VVarType::VAR, varp->name() + suffix, varp->dtypep()};
textp->addNodep(newVarp);
}
@ -532,5 +533,5 @@ public:
void V3ProtectLib::protect() {
UINFO(2, __FUNCTION__ << ": " << endl);
ProtectVisitor(v3Global.rootp());
ProtectVisitor{v3Global.rootp()};
}

View File

@ -233,7 +233,7 @@ public:
if (candidate.empty()) {
return "";
} else {
return string("... Suggested alternative: '") + candidate + "'";
return std::string{"... Suggested alternative: '"} + candidate + "'";
}
}
static void selfTest();

View File

@ -83,7 +83,7 @@ public:
if (activityAlways()) {
return "*ALWAYS*";
} else {
return (string(slow() ? "*SLOW* " : "")) + insertp()->name();
return std::string{slow() ? "*SLOW* " : ""} + insertp()->name();
}
}
virtual string dotColor() const override { return slow() ? "yellowGreen" : "green"; }

View File

@ -379,7 +379,7 @@ private:
addToSubFunc(new AstTracePushNamePrefix{flp, m_traName});
for (int i = nodep->lo(); i <= nodep->hi(); ++i) {
VL_RESTORER(m_traValuep);
m_traName = string{"["} + cvtToStr(i) + string{"]"};
m_traName = std::string{"["} + cvtToStr(i) + std::string{"]"};
m_traValuep = m_traValuep->cloneTree(false);
m_traValuep = new AstArraySel{flp, m_traValuep, i - nodep->lo()};
m_traValuep->dtypep(subtypep);
@ -404,7 +404,7 @@ private:
addToSubFunc(new AstTracePushNamePrefix{flp, m_traName});
for (int i = nodep->lo(); i <= nodep->hi(); ++i) {
VL_RESTORER(m_traValuep);
m_traName = string{"["} + cvtToStr(i) + string{"]"};
m_traName = std::string{"["} + cvtToStr(i) + std::string{"]"};
const int lsb = (i - nodep->lo()) * subtypep->width();
m_traValuep = m_traValuep->cloneTree(false);
m_traValuep = new AstSel{flp, m_traValuep, lsb, subtypep->width()};

View File

@ -156,15 +156,16 @@ class VariableOrder final {
auto& attributes = m_attributes(varp);
// Stratum
const int sigbytes = varp->dtypeSkipRefp()->widthAlignBytes();
attributes.stratum = (varp->isUsedClock() && varp->widthMin() == 1) ? 0
: VN_IS(varp->dtypeSkipRefp(), UnpackArrayDType) ? 8
: (varp->basicp() && varp->basicp()->isOpaque()) ? 7
: (varp->isScBv() || varp->isScBigUint()) ? 6
: (sigbytes == 8) ? 5
: (sigbytes == 4) ? 4
: (sigbytes == 2) ? 2
: (sigbytes == 1) ? 1
: 9;
attributes.stratum = (v3Global.opt.hierChild() && varp->isPrimaryIO()) ? 0
: (varp->isUsedClock() && varp->widthMin() == 1) ? 1
: VN_IS(varp->dtypeSkipRefp(), UnpackArrayDType) ? 9
: (varp->basicp() && varp->basicp()->isOpaque()) ? 8
: (varp->isScBv() || varp->isScBigUint()) ? 7
: (sigbytes == 8) ? 6
: (sigbytes == 4) ? 5
: (sigbytes == 2) ? 3
: (sigbytes == 1) ? 2
: 10;
// Anonymous structure ok
attributes.anonOk = EmitCBaseVisitor::isAnonOk(varp);
}

View File

@ -2476,6 +2476,17 @@ private:
// though causes problems with t_class_forward.v, so for now avoided
// userIterateChildren(nodep->classp(), nullptr);
}
virtual void visit(AstClassOrPackageRef* nodep) override {
if (nodep->didWidthAndSet()) return;
userIterateChildren(nodep, nullptr);
}
virtual void visit(AstDot* nodep) override {
// We can only reach this from constify called during V3Param (so before linkDotParam)
// ... #(Cls#(...)::...) ...
// ^^~~~ this is our DOT
nodep->v3warn(E_UNSUPPORTED, "dotted expressions in parameters\n"
<< nodep->warnMore() << "... Suggest use a typedef");
}
virtual void visit(AstClassExtends* nodep) override {
if (nodep->didWidthAndSet()) return;
if (VN_IS(nodep->childDTypep(), ClassRefDType)) {

View File

@ -1,30 +0,0 @@
%Error-UNSUPPORTED: t/t_class_param.v:40:11: Unsupported: parameterized classes
: ... In instance t
40 | Cls #(.PBASE(4)) c4;
| ^~~~~
... For error description see https://verilator.org/warn/UNSUPPORTED?v=latest
%Error-UNSUPPORTED: t/t_class_param.v:42:12: Unsupported: parameterized classes
: ... In instance t
42 | Wrap #(.P(16)) w16;
| ^
%Error-UNSUPPORTED: t/t_class_param.v:13:24: Unsupported: class parameters
: ... In instance t
13 | class Wrap #(parameter P = 13);
| ^
%Error-UNSUPPORTED: t/t_class_param.v:21:15: Unsupported: class parameters
: ... In instance t
21 | localparam PMINUS1 = P - 1;
| ^~~~~~~
%Error-UNSUPPORTED: t/t_class_param.v:20:17: Unsupported: parameterized classes
: ... In instance t
20 | Cls#(PMINUS1 + 1) c1;
| ^
%Error-UNSUPPORTED: t/t_class_param.v:24:23: Unsupported: class parameters
: ... In instance t
24 | class Cls #(parameter PBASE = 12);
| ^~~~~
%Error-UNSUPPORTED: t/t_class_param.v:35:14: Unsupported: parameterized classes
: ... In instance t
35 | typedef Cls#(8) Cls8_t;
| ^
%Error: Exiting due to

View File

@ -11,13 +11,11 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di
scenarios(simulator => 1);
compile(
fails => $Self->{vlt_all},
expect_filename => $Self->{golden_filename},
);
execute(
check_finished => 1,
) if !$Self->{vlt_all};
);
ok(1);
1;

View File

@ -21,6 +21,17 @@ class Wrap #(parameter P = 13);
localparam PMINUS1 = P - 1; // Checking works when last
endclass
class Wrap2 #(parameter P = 35);
function int get_p;
return c1.get_p();
endfunction
function new;
c1 = new;
endfunction
Wrap#(PMINUS1 + 1) c1;
localparam PMINUS1 = P - 1; // Checking works when last
endclass
class Cls #(parameter PBASE = 12);
bit [PBASE-1:0] member;
function bit [PBASE-1:0] get_member;
@ -40,11 +51,13 @@ module t (/*AUTOARG*/);
Cls #(.PBASE(4)) c4;
Cls8_t c8;
Wrap #(.P(16)) w16;
Wrap2 #(.P(32)) w32;
initial begin
c12 = new;
c4 = new;
c8 = new;
w16 = new;
w32 = new;
if (Cls#()::PBASE != 12) $stop;
if (Cls#(4)::PBASE != 4) $stop;
if (Cls8_t::PBASE != 8) $stop;
@ -65,6 +78,7 @@ module t (/*AUTOARG*/);
if (c4.get_p() != 4) $stop;
if (c8.get_p() != 8) $stop;
if (w16.get_p() != 16) $stop;
if (w32.get_p() != 32) $stop;
// verilator lint_off WIDTH
c12.member = 32'haaaaaaaa;

View File

@ -0,0 +1,5 @@
%Error: t/t_class_param_circ_bad.v:14:4: Exceeded maximum --module-recursion-depth of 100
: ... In instance t
14 | ClsA #(PARAM+1) a;
| ^~~~
%Error: Internal Error: ../V3Param.cpp:#: should find just-made module

View File

@ -0,0 +1,19 @@
#!/usr/bin/env perl
if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; }
# DESCRIPTION: Verilator: Verilog Test driver/expect definition
#
# Copyright 2022 by Wilson Snyder. This program is free software; you
# can redistribute it and/or modify it under the terms of either the GNU
# Lesser General Public License Version 3 or the Perl Artistic License
# Version 2.0.
# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
scenarios(simulator => 1);
lint(
fails => 1,
expect_filename => $Self->{golden_filename},
);
ok(1);
1;

View File

@ -0,0 +1,21 @@
// DESCRIPTION: Verilator: Verilog Test module
//
// This file ONLY is placed under the Creative Commons Public Domain, for
// any use, without warranty, 2022 by Wilson Snyder.
// SPDX-License-Identifier: CC0-1.0
typedef class ClsB;
class ClsA #(parameter PARAM = 12);
ClsB #(PARAM+1) b;
endclass
class ClsB #(parameter PARAM = 12);
ClsA #(PARAM+1) a;
endclass
module t (/*AUTOARG*/);
ClsA #(.PARAM(15)) c; // Bad param name
endmodule

View File

@ -0,0 +1,21 @@
#!/usr/bin/env perl
if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; }
# DESCRIPTION: Verilator: Verilog Test driver/expect definition
#
# Copyright 2022 by Wilson Snyder. This program is free software; you
# can redistribute it and/or modify it under the terms of either the GNU
# Lesser General Public License Version 3 or the Perl Artistic License
# Version 2.0.
# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
scenarios(simulator => 1);
compile(
);
execute(
check_finished => 1,
);
ok(1);
1;

View File

@ -0,0 +1,52 @@
// DESCRIPTION: Verilator: Verilog Test module
//
// This file ONLY is placed under the Creative Commons Public Domain, for
// any use, without warranty, 2022 by Wilson Snyder.
// SPDX-License-Identifier: CC0-1.0
// Code your testbench here
// or browse Examples
class Base #(parameter PBASE = 12);
bit [PBASE-1:0] member;
function bit [PBASE-1:0] get_member;
return member;
endfunction
function int get_p;
return PBASE;
endfunction
endclass
class Cls #(parameter P = 13) extends Base #(P);
endclass
typedef Cls#(8) Cls8_t;
// See also t_class_param_mod.v
module t (/*AUTOARG*/);
Cls #(.P(4)) c4;
Cls8_t c8;
initial begin
c4 = new;
c8 = new;
if (c4.PBASE != 4) $stop;
if (c8.PBASE != 8) $stop;
if (c4.get_p() != 4) $stop;
if (c8.get_p() != 8) $stop;
// verilator lint_off WIDTH
c4.member = 32'haaaaaaaa;
c8.member = 32'haaaaaaaa;
// verilator lint_on WIDTH
if (c4.member != 4'ha) $stop;
if (c4.get_member() != 4'ha) $stop;
if (c8.member != 8'haa) $stop;
if (c8.get_member() != 8'haa) $stop;
$display("c4 = %s", $sformatf("%p", c4));
if ($sformatf("%p", c4) != "'{member:'ha}") $stop;
$write("*-* All Finished *-*\n");
$finish;
end
endmodule

View File

@ -11,12 +11,11 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di
scenarios(simulator => 1);
compile(
fails => $Self->{vlt_all},
);
execute(
check_finished => 1,
) if !$Self->{vlt_all};
);
ok(1);
1;

View File

@ -32,17 +32,30 @@ class Wrap #(parameter P = 13);
localparam PMINUS1 = P - 1; // Checking works when last
endclass
class Wrap2 #(parameter P = 35);
function int get_p;
return c1.get_p();
endfunction
function new;
c1 = new;
endfunction
Wrap#(PMINUS1 + 1) c1;
localparam PMINUS1 = P - 1; // Checking works when last
endclass
typedef Cls#(8) Cls8_t;
Cls c12;
Cls #(.PBASE(4)) c4;
Cls8_t c8;
Wrap #(.P(16)) w16;
Wrap2 #(.P(32)) w32;
initial begin
c12 = new;
c4 = new;
c8 = new;
w16 = new;
w32 = new;
if (Cls#()::PBASE != 12) $stop;
if (Cls#(4)::PBASE != 4) $stop;
if (Cls8_t::PBASE != 8) $stop;
@ -63,6 +76,7 @@ endclass
if (c4.get_p() != 4) $stop;
if (c8.get_p() != 8) $stop;
if (w16.get_p() != 16) $stop;
if (w32.get_p() != 32) $stop;
// verilator lint_off WIDTH
c12.member = 32'haaaaaaaa;

View File

@ -1,10 +1,9 @@
%Error-UNSUPPORTED: t/t_class_param_nconst_bad.v:12:11: Unsupported: parameterized classes
: ... In instance t
%Error: t/t_class_param_nconst_bad.v:12:17: Expecting expression to be constant, but can't convert a RAND to constant.
: ... In instance t
12 | Cls #(.PARAM($random)) c;
| ^~~~~~~
%Error: t/t_class_param_nconst_bad.v:12:11: Can't convert defparam value to constant: Param 'PARAM' of 'Cls'
: ... In instance t
12 | Cls #(.PARAM($random)) c;
| ^~~~~
... For error description see https://verilator.org/warn/UNSUPPORTED?v=latest
%Error-UNSUPPORTED: t/t_class_param_nconst_bad.v:7:23: Unsupported: class parameters
: ... In instance t
7 | class Cls #(parameter PARAM = 12);
| ^~~~~
%Error: Exiting due to

View File

@ -0,0 +1,10 @@
%Error-UNSUPPORTED: t/t_class_param_nested_bad.v:51:23: dotted expressions in parameters
: ... In instance t
: ... Suggest use a typedef
51 | Wrap2 #(Wrap#(19)::PBASE * 2) w38;
| ^~~~~
... For error description see https://verilator.org/warn/UNSUPPORTED?v=latest
%Error: Internal Error: t/t_class_param_nested_bad.v:51:29: ../V3Width.cpp:#: Node has no type
: ... In instance t
51 | Wrap2 #(Wrap#(19)::PBASE * 2) w38;
| ^

View File

@ -0,0 +1,19 @@
#!/usr/bin/env perl
if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; }
# DESCRIPTION: Verilator: Verilog Test driver/expect definition
#
# Copyright 2022 by Wilson Snyder. This program is free software; you
# can redistribute it and/or modify it under the terms of either the GNU
# Lesser General Public License Version 3 or the Perl Artistic License
# Version 2.0.
# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
scenarios(simulator => 1);
lint(
fails => 1,
expect_filename => $Self->{golden_filename},
);
ok(1);
1;

View File

@ -0,0 +1,64 @@
// DESCRIPTION: Verilator: Verilog Test module
//
// This file ONLY is placed under the Creative Commons Public Domain, for
// any use, without warranty, 2022 by Arkadiusz Kozdra.
// SPDX-License-Identifier: CC0-1.0
typedef class Cls;
class Wrap #(parameter P = 13);
function int get_p;
return c1.get_p();
endfunction
function new;
c1 = new;
endfunction
Cls#(PMINUS1 + 1) c1;
localparam PMINUS1 = P - 1; // Checking works when last
endclass
class Wrap2 #(parameter P = 35);
function int get_p;
return c1.get_p();
endfunction
function new;
c1 = new;
endfunction
Wrap#(PMINUS1 + 1) c1;
localparam PMINUS1 = P - 1; // Checking works when last
endclass
class Cls #(parameter PBASE = 12);
bit [PBASE-1:0] member;
function bit [PBASE-1:0] get_member;
return member;
endfunction
static function int get_p;
return PBASE;
endfunction
typedef enum { E_PBASE = PBASE } enum_t;
endclass
typedef Cls#(8) Cls8_t;
module t (/*AUTOARG*/);
Cls c12;
Cls #(.PBASE(4)) c4;
Cls8_t c8;
Wrap #(.P(16)) w16;
Wrap2 #(.P(32)) w32;
Wrap2 #(Wrap#(19)::PBASE * 2) w38;
initial begin
c12 = new;
c4 = new;
c8 = new;
w16 = new;
w32 = new;
w38 = new;
if (w38.get_p() != 38) $stop;
$write("*-* All Finished *-*\n");
$finish;
end
endmodule

View File

@ -1,30 +0,0 @@
%Error-UNSUPPORTED: t/t_class_param_pkg.v:43:16: Unsupported: parameterized classes
: ... In instance t
43 | Pkg::Cls #(.PBASE(4)) c4;
| ^~~~~
... For error description see https://verilator.org/warn/UNSUPPORTED?v=latest
%Error-UNSUPPORTED: t/t_class_param_pkg.v:45:17: Unsupported: parameterized classes
: ... In instance t
45 | Pkg::Wrap #(.P(16)) w16;
| ^
%Error-UNSUPPORTED: t/t_class_param_pkg.v:14:27: Unsupported: class parameters
: ... In instance t
14 | class Wrap #(parameter P = 13);
| ^
%Error-UNSUPPORTED: t/t_class_param_pkg.v:22:18: Unsupported: class parameters
: ... In instance t
22 | localparam PMINUS1 = P - 1;
| ^~~~~~~
%Error-UNSUPPORTED: t/t_class_param_pkg.v:21:20: Unsupported: parameterized classes
: ... In instance t
21 | Cls#(PMINUS1 + 1) c1;
| ^
%Error-UNSUPPORTED: t/t_class_param_pkg.v:25:26: Unsupported: class parameters
: ... In instance t
25 | class Cls #(parameter PBASE = 12);
| ^~~~~
%Error-UNSUPPORTED: t/t_class_param_pkg.v:36:22: Unsupported: parameterized classes
: ... In instance t
36 | typedef Pkg::Cls#(8) Cls8_t;
| ^
%Error: Exiting due to

View File

@ -11,13 +11,11 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di
scenarios(simulator => 1);
compile(
fails => $Self->{vlt_all},
expect_filename => $Self->{golden_filename},
);
execute(
check_finished => 1,
) if !$Self->{vlt_all};
);
ok(1);
1;

View File

@ -22,6 +22,17 @@ package Pkg;
localparam PMINUS1 = P - 1; // Checking works when last
endclass
class Wrap2 #(parameter P = 35);
function int get_p;
return c1.get_p();
endfunction
function new;
c1 = new;
endfunction
Wrap#(PMINUS1 + 1) c1;
localparam PMINUS1 = P - 1; // Checking works when last
endclass
class Cls #(parameter PBASE = 12);
bit [PBASE-1:0] member;
function bit [PBASE-1:0] get_member;
@ -43,11 +54,13 @@ module t (/*AUTOARG*/);
Pkg::Cls #(.PBASE(4)) c4;
Pkg::Cls8_t c8;
Pkg::Wrap #(.P(16)) w16;
Pkg::Wrap2 #(.P(32)) w32;
initial begin
c12 = new;
c4 = new;
c8 = new;
w16 = new;
w32 = new;
if (Pkg::Cls#()::PBASE != 12) $stop;
if (Pkg::Cls#(4)::PBASE != 4) $stop;
if (Pkg::Cls8_t::PBASE != 8) $stop;
@ -68,6 +81,7 @@ module t (/*AUTOARG*/);
if (c4.get_p() != 4) $stop;
if (c8.get_p() != 8) $stop;
if (w16.get_p() != 16) $stop;
if (w32.get_p() != 32) $stop;
// verilator lint_off WIDTH
c12.member = 32'haaaaaaaa;

View File

@ -1,18 +0,0 @@
%Error-UNSUPPORTED: t/t_class_vparam.v:11:26: Unsupported: parameterized classes
: ... In instance t
11 | typedef paramed_class_t#(real, 1) paramed_class_double_t;
| ^~~~
... For error description see https://verilator.org/warn/UNSUPPORTED?v=latest
%Error-UNSUPPORTED: t/t_class_vparam.v:13:56: Unsupported: class parameters
: ... In instance t
13 | virtual class vclass #(type CTYPE_t = arg_class_t, int I = 0);
| ^
%Error-UNSUPPORTED: t/t_class_vparam.v:14:58: Unsupported: parameterized classes
: ... In instance t
14 | pure virtual function void funcname(paramed_class_t #(CTYPE_t) v);
| ^~~~~~~
%Error-UNSUPPORTED: t/t_class_vparam.v:17:46: Unsupported: class parameters
: ... In instance t
17 | class paramed_class_t #(type TYPE = int, int I = 0);
| ^
%Error: Exiting due to

View File

@ -11,13 +11,11 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di
scenarios(simulator => 1);
compile(
fails => $Self->{vlt_all},
expect_filename => $Self->{golden_filename},
);
execute(
check_finished => 1,
) if !$Self->{vlt_all};
);
ok(1);
1;

View File

@ -8,16 +8,18 @@
typedef class paramed_class_t;
typedef class arg_class_t;
typedef paramed_class_t#(real, 1) paramed_class_double_t;
typedef paramed_class_t#(logic[3:0], 1) paramed_class_logic4_t;
virtual class vclass #(type CTYPE_t = arg_class_t, int I = 0);
pure virtual function void funcname(paramed_class_t #(CTYPE_t) v);
endclass
class paramed_class_t #(type TYPE = int, int I = 0);
TYPE memb;
endclass
class arg_class_t;
int ifield;
endclass
module t (/*AUTOARG*/
@ -26,7 +28,15 @@ module t (/*AUTOARG*/
);
input clk;
always @ (posedge clk) begin
vclass vir;
paramed_class_t#(arg_class_t) argu;
initial begin
argu = new;
argu.memb = new;
argu.memb.ifield = 1234;
// vir.funcname(argu);
if (argu.memb.ifield != 1234) $stop;
$write("*-* All Finished *-*\n");
$finish;
end

View File

@ -51,7 +51,7 @@ void mon_class_name(const char* namep) {
#endif
// Check the C's calling name of "" doesn't lead to extra dots in the name()
if (namep && namep[0] == '.')
vl_fatal(__FILE__, __LINE__, "", (std::string("Unexp class name ") + namep).c_str());
vl_fatal(__FILE__, __LINE__, "", (std::string{"Unexp class name "} + namep).c_str());
}
extern "C" void mon_scope_name(const char* namep);
@ -61,9 +61,9 @@ void mon_scope_name(const char* namep) {
VL_PRINTF("- mon_scope_name('%s', \"%s\");\n", modp, namep);
#endif
if (strcmp(namep, "t.sub"))
vl_fatal(__FILE__, __LINE__, "", (std::string("Unexp scope name ") + namep).c_str());
vl_fatal(__FILE__, __LINE__, "", (std::string{"Unexp scope name "} + namep).c_str());
if (strcmp(modp, "t.sub"))
vl_fatal(__FILE__, __LINE__, "", (std::string("Unexp dpiscope name ") + modp).c_str());
vl_fatal(__FILE__, __LINE__, "", (std::string{"Unexp dpiscope name "} + modp).c_str());
}
extern "C" void mon_register_b(const char* namep, int isOut);

View File

@ -56,7 +56,7 @@ Vt_embed1_child* __get_modelp() {
// Create the model
const char* scopenamep = svGetNameFromScope(scope);
if (!scopenamep) vl_fatal(__FILE__, __LINE__, __FILE__, "svGetNameFromScope failed");
__modelp = new Vt_embed1_child(scopenamep);
__modelp = new Vt_embed1_child{scopenamep};
if (svPutUserData(scope, &T_Embed_Child_Unique, __modelp)) {
vl_fatal(__FILE__, __LINE__, __FILE__, "svPutUserData failed");
}

19
test_regress/t/t_hier_bynum.pl Executable file
View File

@ -0,0 +1,19 @@
#!/usr/bin/perl
if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; }
# DESCRIPTION: Verilator: Verilog Test driver/expect definition
#
# Copyright 2003 by Wilson Snyder. This program is free software; you can
# redistribute it and/or modify it under the terms of either the GNU
# Lesser General Public License Version 3 or the Perl Artistic License
# Version 2.0.
scenarios(vlt_all => 1);
compile(
v_flags2 => ['t/t_hier_block.cpp'],
verilator_flags2 => ['--hierarchical'],
verilator_make_gmake => 0,
);
ok(1);
1;

View File

@ -0,0 +1,29 @@
// DESCRIPTION: Verilator: Verilog Test module
//
// This file ONLY is placed into the Public Domain, for any use,
// without warranty, 2022 by Wilson Snyder.
module flop (
output reg q,
input wire d,
input wire clk
);
// verilator hier_block
always_ff @(posedge clk) begin
q <= d;
end
endmodule
module t (
output wire q,
input wire d,
input wire clk
);
// This intentionally uses pin number ordering
flop u_flop(q, d, clk);
endmodule

View File

@ -25,7 +25,7 @@ int main()
#endif
{
Verilated::debug(0);
tb = new VM_PREFIX("tb");
tb = new VM_PREFIX{"tb"};
#ifdef SYSTEMC_VERSION
sc_signal<uint32_t> i3;

View File

@ -18,7 +18,7 @@ int main()
#endif
{
Verilated::debug(0);
tb = new VM_PREFIX("tb");
tb = new VM_PREFIX{"tb"};
tb->final();
VL_DO_DANGLING(delete tb, tb);

View File

@ -20,7 +20,7 @@ double sc_time_stamp() { return 0; }
int errors = 0;
int main(int argc, char* argv[]) {
Vt_multitop_sig* topp = new Vt_multitop_sig("");
Vt_multitop_sig* topp = new Vt_multitop_sig{""};
Verilated::debug(0);

View File

@ -9,7 +9,7 @@
VM_PREFIX* tb = nullptr;
int sc_main(int argc, char* argv[]) {
tb = new VM_PREFIX("tb");
tb = new VM_PREFIX{"tb"};
std::vector<sc_object*> ch = tb->get_child_objects();
bool found = false;

View File

@ -12,7 +12,7 @@ double sc_time_stamp() {
}
int main() {
tb = new VM_PREFIX("tb");
tb = new VM_PREFIX{"tb"};
tb->eval();
tb->eval();

View File

@ -26,7 +26,7 @@ double sc_time_stamp() { return (double)main_time; }
const unsigned long long dt_2 = 3;
int main(int argc, char** argv, char** env) {
VM_PREFIX* top = new VM_PREFIX("top");
VM_PREFIX* top = new VM_PREFIX{"top"};
Verilated::debug(0);
Verilated::traceEverOn(true);

View File

@ -0,0 +1,45 @@
// -*- mode: C++; c-file-style: "cc-mode" -*-
//
// DESCRIPTION: Verilator: Verilog Test module
//
// This file ONLY is placed under the Creative Commons Public Domain, for
// any use, without warranty, 2008 by Wilson Snyder.
// SPDX-License-Identifier: CC0-1.0
#include <verilated.h>
#include <verilated_vcd_c.h>
#include <memory>
#include VM_PREFIX_INCLUDE
unsigned long long main_time = 0;
double sc_time_stamp() { return (double)main_time; }
int main(int argc, char** argv, char** env) {
std::unique_ptr<VM_PREFIX> top{new VM_PREFIX("top")};
Verilated::debug(0);
Verilated::traceEverOn(true);
std::unique_ptr<VerilatedVcdC> tfp{new VerilatedVcdC};
top->trace(tfp.get(), 99);
tfp->rolloverSize(1000); // But will be increased to 8kb chunk size
tfp->open(VL_STRINGIFY(TEST_OBJ_DIR) "/simrollover.vcd");
top->clk = 0;
while (main_time < 1900) { // Creates 2 files
top->clk = !top->clk;
top->eval();
tfp->dump((unsigned int)(main_time));
++main_time;
}
tfp->close();
top->final();
tfp.reset();
top.reset();
printf("*-* All Finished *-*\n");
return 0;
}

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,36 @@
#!/usr/bin/env perl
if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; }
# DESCRIPTION: Verilator: Verilog Test driver/expect definition
#
# Copyright 2003-2013 by Wilson Snyder. This program is free software; you
# can redistribute it and/or modify it under the terms of either the GNU
# Lesser General Public License Version 3 or the Perl Artistic License
# Version 2.0.
# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
scenarios(vlt_all => 1);
top_filename("t_trace_cat.v");
compile(
make_top_shell => 0,
make_main => 0,
v_flags2 => ["--trace --exe $Self->{t_dir}/t_trace_rollover.cpp"],
);
execute(
check_finished => 1,
);
system("cat $Self->{obj_dir}/simrollover_cat*.vcd "
. " > $Self->{obj_dir}/simall.vcd");
vcd_identical("$Self->{obj_dir}/simall.vcd",
$Self->{golden_filename});
file_grep_not("$Self->{obj_dir}/simrollover_cat0000.vcd", qr/^#/i);
file_grep("$Self->{obj_dir}/simrollover_cat0001.vcd", qr/^#/i);
file_grep("$Self->{obj_dir}/simrollover_cat0002.vcd", qr/^#/i);
ok(1);
1;

View File

@ -0,0 +1,18 @@
#!/usr/bin/env perl
if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; }
# DESCRIPTION: Verilator: Verilog Test driver/expect definition
#
# Copyright 2003 by Wilson Snyder. This program is free software; you
# can redistribute it and/or modify it under the terms of either the GNU
# Lesser General Public License Version 3 or the Perl Artistic License
# Version 2.0.
# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
scenarios(simulator => 1);
compile(
v_flags2 => ["--trace", "--output-split-cfuncs", "1"]
);
ok(1);
1;

View File

@ -0,0 +1,14 @@
// DESCRIPTION: Verilator: Verilog Test module
//
// This file ONLY is placed under the Creative Commons Public Domain, for
// any use, without warranty, 2022 by Varun Koyyalagunta.
// SPDX-License-Identifier: CC0-1.0
module t ();
initial begin
$dumpfile("dump.vcd");
$dumpvars();
end
endmodule

View File

@ -0,0 +1,18 @@
#!/usr/bin/env perl
if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; }
# DESCRIPTION: Verilator: Verilog Test driver/expect definition
#
# Copyright 2003 by Wilson Snyder. This program is free software; you
# can redistribute it and/or modify it under the terms of either the GNU
# Lesser General Public License Version 3 or the Perl Artistic License
# Version 2.0.
# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
scenarios(simulator => 1);
compile(
v_flags2 => ["--trace", "--output-split-cfuncs", "1"]
);
ok(1);
1;

View File

@ -0,0 +1,18 @@
// DESCRIPTION: Verilator: Verilog Test module
//
// This file ONLY is placed under the Creative Commons Public Domain, for
// any use, without warranty, 2022 by Varun Koyyalagunta.
// SPDX-License-Identifier: CC0-1.0
module t ();
function automatic void func();
endfunction
export "DPI-C" function func;
initial begin
$dumpfile("dump.vcd");
$dumpvars();
end
endmodule

View File

@ -33,8 +33,8 @@ int sc_main(int argc, char** argv) {
Verilated::traceEverOn(true);
Verilated::debug(0);
srand48(5);
ap = new VM_PREFIX("topa");
bp = new Vt_trace_two_b("topb");
ap = new VM_PREFIX{"topa"};
bp = new Vt_trace_two_b{"topb"};
ap->clk(clk);
bp->clk(clk);

View File

@ -36,7 +36,7 @@ int main() {
bool pass = true;
Verilated::debug(0);
tb = new VM_PREFIX("tb");
tb = new VM_PREFIX{"tb"};
// loop through every possibility and check the result
for (tb->SEL = 0; tb->SEL < 2; tb->SEL++) {

View File

@ -36,7 +36,7 @@ int main() {
bool pass = true;
Verilated::debug(0);
tb = new Vt_tri_inout("tb");
tb = new Vt_tri_inout{"tb"};
// loop through every possibility and check the result
for (tb->SEL = 0; tb->SEL < 2; tb->SEL++) {

View File

@ -34,7 +34,7 @@ void check(int d, int en, int exp0, int exp1, int expx, int expz) {
int main() {
Verilated::debug(0);
tb = new Vt_tri_inz("tb");
tb = new Vt_tri_inz{"tb"};
check(0, 1, 1, 0, 0, 0);
check(1, 1, 0, 1, 0, 0);
check(0, 0, 0, 0, 0, 1);

View File

@ -47,7 +47,7 @@ int main() {
bool pass = true;
Verilated::debug(0);
tb = new Vt_tri_pullup("tb");
tb = new Vt_tri_pullup{"tb"};
// loop through every possibility and check the result
for (tb->OE = 0; tb->OE < 2; tb->OE++) {

View File

@ -45,7 +45,7 @@ int main() {
bool pass = true;
Verilated::debug(0);
tb = new Vt_tri_select("tb");
tb = new Vt_tri_select{"tb"};
// loop through every possibility and check the result
for (tb->OE1 = 0; tb->OE1 < 2; tb->OE1++) {

View File

@ -22,7 +22,7 @@ double sc_time_stamp() { return main_time; }
int main(int argc, char** argv, char** env) {
Verilated::debug(0);
VM_PREFIX* topp = new VM_PREFIX(""); // Note null name - we're flattening it out
VM_PREFIX* topp = new VM_PREFIX{""}; // Note null name - we're flattening it out
main_time = 0;

View File

@ -9,7 +9,7 @@ VM_PREFIX* tb = nullptr;
int main() {
Verilated::debug(0);
tb = new VM_PREFIX("tb");
tb = new VM_PREFIX{"tb"};
VL_PRINTF("*-* All Finished *-*\n");
tb->final();
@ -18,7 +18,7 @@ int main() {
}
int sc_main(int argc, char* argv[]) {
tb = new VM_PREFIX("tb");
tb = new VM_PREFIX{"tb"};
VL_PRINTF("*-* All Finished *-*\n");
tb->final();

View File

@ -138,7 +138,7 @@ void _mem_check(const char* name, int size, int left, int right, int words) {
value.format = vpiBinStrVal;
vpi_get_value(mem_h, &value);
TEST_CHECK_Z(vpi_chk_error(&e));
TEST_CHECK_EQ(std::string(value.value.str), binStr);
TEST_CHECK_EQ(std::string{value.value.str}, binStr);
}
// don't care for non verilator

View File

@ -101,7 +101,7 @@ int check_param_int(std::string name, PLI_INT32 format, int exp_value, bool verb
p = vpi_get_str(vpiName, param_h);
CHECK_RESULT_CSTR(p, name.c_str());
p = vpi_get_str(vpiFullName, param_h);
CHECK_RESULT_CSTR(p, std::string("t." + name).c_str());
CHECK_RESULT_CSTR(p, std::string{"t." + name}.c_str());
p = vpi_get_str(vpiType, param_h);
CHECK_RESULT_CSTR(p, "vpiParameter");
vpi_type = vpi_get(vpiLocalParam, param_h);
@ -155,7 +155,7 @@ int check_param_str(std::string name, PLI_INT32 format, std::string exp_value, b
p = vpi_get_str(vpiName, param_h);
CHECK_RESULT_CSTR(p, name.c_str());
p = vpi_get_str(vpiFullName, param_h);
CHECK_RESULT_CSTR(p, std::string("t." + name).c_str());
CHECK_RESULT_CSTR(p, std::string{"t." + name}.c_str());
p = vpi_get_str(vpiType, param_h);
CHECK_RESULT_CSTR(p, "vpiParameter");
vpi_type = vpi_get(vpiLocalParam, param_h);

View File

@ -8,7 +8,7 @@
VM_PREFIX* tb = nullptr;
int sc_main(int argc, char* argv[]) {
tb = new VM_PREFIX("tb");
tb = new VM_PREFIX{"tb"};
VL_PRINTF("*-* All Finished *-*\n");
tb->final();

View File

@ -536,7 +536,7 @@ int _mon_check_putget_str(p_cb_data cb_data) {
CHECK_RESULT_CSTR(v.value.str, data[i].str.c_str());
} else {
data[i].type = v.format;
data[i].str = std::string(v.value.str);
data[i].str = std::string{v.value.str};
}
}

View File

@ -138,7 +138,7 @@ int main(int argc, char** argv, char** env) {
void* lib = dlopen(filenamep, RTLD_LAZY);
void* bootstrap = dlsym(lib, "vpi_compat_bootstrap");
if (!bootstrap) {
const std::string msg = std::string("%Error: Could not dlopen ") + filenamep;
const std::string msg = std::string{"%Error: Could not dlopen "} + filenamep;
vl_fatal(__FILE__, __LINE__, "main", msg.c_str());
}
((void (*)(void))bootstrap)();

View File

@ -84,7 +84,7 @@ void sim(VM_PREFIX* topp) {
}
std::string filename
= std::string(VL_STRINGIFY(TEST_OBJ_DIR) "/coverage_") + topp->name() + ".dat";
= std::string{VL_STRINGIFY(TEST_OBJ_DIR) "/coverage_"} + topp->name() + ".dat";
contextp->coveragep()->write(filename.c_str());
}

View File

@ -92,7 +92,7 @@ int main(int argc, char** argv, char** env) {
TEST_CHECK_EQ(sizeof(vlsint32_t), 4); // Intentional use of old typedef
TEST_CHECK_EQ(sizeof(vlsint64_t), 8); // Intentional use of old typedef
VM_PREFIX* topp = new VM_PREFIX();
VM_PREFIX* topp = new VM_PREFIX{};
topp->eval();
topp->clk = 0;

View File

@ -31,7 +31,7 @@ double sc_time_stamp() { return 0; }
// clang-format on
int main(int argc, const char** argv) {
VM_PREFIX* top = new VM_PREFIX();
VM_PREFIX* top = new VM_PREFIX{};
#if defined(T_X_ASSIGN_UNIQUE_0)
Verilated::randReset(0);