From 4f19eeaffa72e18919d18e44d156661a036ae341 Mon Sep 17 00:00:00 2001 From: Wilson Snyder Date: Sun, 12 Feb 2023 19:57:52 -0500 Subject: [PATCH] Fix type(typedef) operation core dump --- src/V3Width.cpp | 19 +++++++++++++------ test_regress/t/t_param_type_bit.pl | 17 +++++++++++++++++ test_regress/t/t_param_type_bit.v | 25 +++++++++++++++++++++++++ 3 files changed, 55 insertions(+), 6 deletions(-) create mode 100755 test_regress/t/t_param_type_bit.pl create mode 100644 test_regress/t/t_param_type_bit.v diff --git a/src/V3Width.cpp b/src/V3Width.cpp index 2ffbec4ca..fb41c5cd4 100644 --- a/src/V3Width.cpp +++ b/src/V3Width.cpp @@ -1735,12 +1735,19 @@ private: if (nodep->didWidthAndSet()) return; // This node is a dtype & not both PRELIMed+FINALed nodep->doingWidth(true); if (nodep->typeofp()) { // type(typeofp_expression) - // Type comes from expression's type - userIterateAndNext(nodep->typeofp(), WidthVP{SELF, BOTH}.p()); - AstNode* const typeofp = nodep->typeofp(); - nodep->typedefp(nullptr); - nodep->refDTypep(typeofp->dtypep()); - VL_DO_DANGLING(typeofp->unlinkFrBack()->deleteTree(), typeofp); + if (AstNodeDType* typeofDtp = VN_CAST(nodep->typeofp(), NodeDType)) { + // It's directly a type, e.g. "type(int)" + typeofDtp = iterateEditMoveDTypep(nodep, typeofDtp); // Changes typeofp + nodep->typedefp(nullptr); + nodep->refDTypep(typeofDtp); + } else { + // Type comes from expression's type, e.g. "type(variable)" + userIterateAndNext(nodep->typeofp(), WidthVP{SELF, BOTH}.p()); + AstNode* const typeofp = nodep->typeofp(); + nodep->typedefp(nullptr); + nodep->refDTypep(typeofp->dtypep()); + VL_DO_DANGLING(typeofp->unlinkFrBack()->deleteTree(), typeofp); + } // We had to use AstRefDType for this construct as pointers to this type // in type table are still correct (which they wouldn't be if we replaced the node) } diff --git a/test_regress/t/t_param_type_bit.pl b/test_regress/t/t_param_type_bit.pl new file mode 100755 index 000000000..552bd97db --- /dev/null +++ b/test_regress/t/t_param_type_bit.pl @@ -0,0 +1,17 @@ +#!/usr/bin/env perl +if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; } +# DESCRIPTION: Verilator: Verilog Test driver/expect definition +# +# Copyright 2003 by Wilson Snyder. This program is free software; you +# can redistribute it and/or modify it under the terms of either the GNU +# Lesser General Public License Version 3 or the Perl Artistic License +# Version 2.0. +# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0 + +scenarios(simulator => 1); + +compile( + ); + +ok(1); +1; diff --git a/test_regress/t/t_param_type_bit.v b/test_regress/t/t_param_type_bit.v new file mode 100644 index 000000000..4317ee39d --- /dev/null +++ b/test_regress/t/t_param_type_bit.v @@ -0,0 +1,25 @@ +// DESCRIPTION: Verilator: Verilog Test module +// +// This test examines Verilator against paramter definition with functions. +// Particularly the function takes in argument which is multi-dimentional. +// +// This file ONLY is placed into the Public Domain, for any use, +// without warranty, 2023 by Wilson Snyder. +// SPDX-License-Identifier: CC0-1.0 + +module t; + sub sub(); +endmodule + +module sub + #(parameter type T = type(bit[9:0]) ) + (); + + type(bit[9:0]) tvar; + + initial begin + if ($bits(T) != 10) $stop; + if ($bits(tvar) != 10) $stop; + $finish; + end +endmodule