forked from github/verilator
851 lines
6.5 KiB
Plaintext
851 lines
6.5 KiB
Plaintext
|
ABCp
|
||
|
Accellera
|
||
|
Affe
|
||
|
Aleksander
|
||
|
Ami
|
||
|
Amir
|
||
|
Anastasiadis
|
||
|
Antonin
|
||
|
Antwerpen
|
||
|
Arasanipalai
|
||
|
Arjen
|
||
|
Asciidoc
|
||
|
Ashutosh
|
||
|
Atmel
|
||
|
Aurelien
|
||
|
Bagri
|
||
|
Baltazar
|
||
|
Bardsley
|
||
|
Benchmarking
|
||
|
Berman
|
||
|
Bhagavatula
|
||
|
Bhattacharyya
|
||
|
Binderman
|
||
|
Bleiweiss
|
||
|
Bogdan
|
||
|
Bouchard
|
||
|
Boumenot
|
||
|
Brej
|
||
|
Briquet
|
||
|
Brownlee
|
||
|
Buckenmaier
|
||
|
Bybell
|
||
|
CMake
|
||
|
Cai
|
||
|
Cavium
|
||
|
Cfuncs
|
||
|
Chandan
|
||
|
Chitlesh
|
||
|
Christophe
|
||
|
Cochrane
|
||
|
Cuan
|
||
|
Cygwin
|
||
|
DErrico
|
||
|
Da
|
||
|
Danilo
|
||
|
Dannoritzer
|
||
|
Das
|
||
|
Dcache
|
||
|
Deadman
|
||
|
Debacker
|
||
|
Defparams
|
||
|
Denio
|
||
|
Deprecations
|
||
|
Deroo
|
||
|
Dickol
|
||
|
Diez
|
||
|
Dimitris
|
||
|
Dinotrace
|
||
|
Djordjevic
|
||
|
Dobbie
|
||
|
Dockerfile
|
||
|
Donal
|
||
|
Doorn
|
||
|
Doxygen
|
||
|
Dressler
|
||
|
Duraid
|
||
|
Dutton
|
||
|
Dzetkulic
|
||
|
Eda
|
||
|
Eddleston
|
||
|
Egbert
|
||
|
Egil
|
||
|
Eiler
|
||
|
Eivind
|
||
|
El
|
||
|
Elbourne
|
||
|
Embecosm
|
||
|
Engstrom
|
||
|
Enzo
|
||
|
Eugen
|
||
|
Fabrizio
|
||
|
Fekete
|
||
|
Ferrandi
|
||
|
Flachs
|
||
|
Foletto
|
||
|
Forker
|
||
|
Francillon
|
||
|
Fredieu
|
||
|
GTKWave
|
||
|
Galbi
|
||
|
Gantt
|
||
|
Gartner
|
||
|
Gelinek
|
||
|
Geoff
|
||
|
Gernot
|
||
|
Gerst
|
||
|
Gielda
|
||
|
Gigerl
|
||
|
Gileadi
|
||
|
Giri
|
||
|
Gisselquist
|
||
|
Gladkikh
|
||
|
Goessling
|
||
|
Gonnen
|
||
|
Goorah
|
||
|
Gossner
|
||
|
Grobman
|
||
|
Gunter
|
||
|
Guo
|
||
|
Hao
|
||
|
Harboe
|
||
|
Hashimoto
|
||
|
Hayenga
|
||
|
Hewson
|
||
|
Hicamp
|
||
|
Hiroki
|
||
|
Holdsworth
|
||
|
Holme
|
||
|
Homebrew
|
||
|
Hornung
|
||
|
Hossell
|
||
|
Hsu
|
||
|
Hyperthreading
|
||
|
Iles
|
||
|
Inlines
|
||
|
Inout
|
||
|
Iru
|
||
|
Iztok
|
||
|
Jacko
|
||
|
Jae
|
||
|
Jalloq
|
||
|
Jankowski
|
||
|
Jannis
|
||
|
Jens
|
||
|
Jeras
|
||
|
Jiuyang
|
||
|
Joly
|
||
|
Jullien
|
||
|
Junji
|
||
|
Junyi
|
||
|
Kaalia
|
||
|
Kagen
|
||
|
Kahlich
|
||
|
Kahn
|
||
|
Kai
|
||
|
Kamendje
|
||
|
Kandadi
|
||
|
Kaplan
|
||
|
Karge
|
||
|
Katz
|
||
|
Katzman
|
||
|
Keren
|
||
|
Keyi
|
||
|
Kimmitt
|
||
|
Kindgren
|
||
|
Kirkham
|
||
|
Klnv
|
||
|
Koenig
|
||
|
Koh
|
||
|
Kolecki
|
||
|
Koonce
|
||
|
Korteland
|
||
|
Koszek
|
||
|
Kravitz
|
||
|
Krolnik
|
||
|
Kruse
|
||
|
Kumashikar
|
||
|
Kuoping
|
||
|
Kurachi
|
||
|
Laeufer
|
||
|
Laurens
|
||
|
Lavino
|
||
|
Leber
|
||
|
Leendert
|
||
|
Lesik
|
||
|
Liland
|
||
|
Liu
|
||
|
Lockhart
|
||
|
Longo
|
||
|
Lussier
|
||
|
MMD
|
||
|
MODDUP
|
||
|
MTasks
|
||
|
Madina
|
||
|
Magne
|
||
|
Mahesh
|
||
|
Mahmoudy
|
||
|
Makefile
|
||
|
Makefiles
|
||
|
Matveyenko
|
||
|
Maupin
|
||
|
Mdir
|
||
|
Mednick
|
||
|
Michiels
|
||
|
Microsystems
|
||
|
Milanovic
|
||
|
MinW
|
||
|
Mindspeed
|
||
|
Miodrag
|
||
|
ModelSim
|
||
|
Modport
|
||
|
Moinak
|
||
|
Mong
|
||
|
Muhlestein
|
||
|
Multithreaded
|
||
|
Multithreading
|
||
|
NOUNOPTFLAT
|
||
|
Nalbantis
|
||
|
Narayan
|
||
|
Nauticus
|
||
|
Newgard
|
||
|
Nikana
|
||
|
Niranjan
|
||
|
Nitza
|
||
|
Noack
|
||
|
Nodine
|
||
|
Oleg
|
||
|
Olof
|
||
|
Olofsson
|
||
|
Oyvind
|
||
|
PLI
|
||
|
Patricio
|
||
|
Petr
|
||
|
Piechotka
|
||
|
Piersall
|
||
|
Plunkett
|
||
|
Popov
|
||
|
Prabhat
|
||
|
Prabhu
|
||
|
Prateek
|
||
|
Pre
|
||
|
Preprocess
|
||
|
Priyadharshini
|
||
|
Pullup
|
||
|
Pulver
|
||
|
Puri
|
||
|
Questa
|
||
|
Ralf
|
||
|
Rapp
|
||
|
Redhat
|
||
|
Reitan
|
||
|
Renga
|
||
|
Requin
|
||
|
Rodionov
|
||
|
Rolfe
|
||
|
Roodselaar
|
||
|
Runtime
|
||
|
Ruud
|
||
|
Rystsov
|
||
|
STandarD
|
||
|
Salman
|
||
|
Sanguinetti
|
||
|
Sanjay
|
||
|
Scharrer
|
||
|
Seitz
|
||
|
Shankar
|
||
|
Shanshan
|
||
|
Sharad
|
||
|
Shareef
|
||
|
Sheng
|
||
|
Shi
|
||
|
Shinkarovsky
|
||
|
Shirakawa
|
||
|
Shuba
|
||
|
Slatter
|
||
|
SoC
|
||
|
Sobhan
|
||
|
Sokorac
|
||
|
Solaris
|
||
|
Solomatnikov
|
||
|
Srini
|
||
|
Stamness
|
||
|
Stephane
|
||
|
Stoddard
|
||
|
Stroebel
|
||
|
Strouble
|
||
|
Stucki
|
||
|
Su
|
||
|
Suguimoto
|
||
|
Sundararajan
|
||
|
Suse
|
||
|
Syms
|
||
|
Synopsys
|
||
|
SystemC
|
||
|
SystemVerilog
|
||
|
Takatsukasa
|
||
|
Tambe
|
||
|
Tariq
|
||
|
Tengstrand
|
||
|
Terpstra
|
||
|
Thiede
|
||
|
Thierry
|
||
|
Thyer
|
||
|
Tichelaar
|
||
|
Tomov
|
||
|
Topa
|
||
|
Tota
|
||
|
Tresidder
|
||
|
Tri
|
||
|
Tristate
|
||
|
Turton
|
||
|
UNOPTFLAT
|
||
|
Ubixum
|
||
|
Uints
|
||
|
Undefines
|
||
|
Unsized
|
||
|
Uselib
|
||
|
Usha
|
||
|
Usuario
|
||
|
VERILATOR
|
||
|
Vasu
|
||
|
Vdly
|
||
|
Vemumtab
|
||
|
Vemuri
|
||
|
Veriable
|
||
|
Verialted
|
||
|
Verilate
|
||
|
Verilated
|
||
|
Verilating
|
||
|
Verilation
|
||
|
Verilator
|
||
|
Verilog
|
||
|
Viktor
|
||
|
Vm
|
||
|
Vukobratovic
|
||
|
Wai
|
||
|
Wasson
|
||
|
Welch
|
||
|
Werror
|
||
|
Wfuture
|
||
|
Whatson
|
||
|
Wildman
|
||
|
Wim
|
||
|
Wno
|
||
|
Wojciech
|
||
|
Wolfel
|
||
|
Wouters
|
||
|
Wpedantic
|
||
|
Wwarn
|
||
|
XSim
|
||
|
Xcelium
|
||
|
Xiaoliang
|
||
|
Xuan
|
||
|
Xuanqi
|
||
|
Yazdanbakhsh
|
||
|
Yi
|
||
|
Yinan
|
||
|
Yosys
|
||
|
Yu
|
||
|
Zhang
|
||
|
abirkmanis
|
||
|
accessor
|
||
|
accessors
|
||
|
agrobman
|
||
|
al
|
||
|
ala
|
||
|
andit
|
||
|
ar
|
||
|
architected
|
||
|
args
|
||
|
arrarys
|
||
|
assertOn
|
||
|
async
|
||
|
ato
|
||
|
atoi
|
||
|
autoconf
|
||
|
autoflush
|
||
|
bXXXX
|
||
|
backend
|
||
|
backslashed
|
||
|
backtrace
|
||
|
backtraces
|
||
|
basename
|
||
|
bbox
|
||
|
biguint
|
||
|
biops
|
||
|
bitstoreal
|
||
|
blackbox
|
||
|
bokke
|
||
|
brancoliticus
|
||
|
buf
|
||
|
bufif
|
||
|
buildenv
|
||
|
bv
|
||
|
bvs
|
||
|
callValueCbs
|
||
|
casex
|
||
|
casez
|
||
|
casted
|
||
|
cb
|
||
|
ccache
|
||
|
cdc
|
||
|
ceil
|
||
|
celldefine
|
||
|
cerr
|
||
|
cfunc
|
||
|
cfuncs
|
||
|
chandle
|
||
|
chandles
|
||
|
changeDetect
|
||
|
chenguokai
|
||
|
clk
|
||
|
clocker
|
||
|
cmake
|
||
|
cmos
|
||
|
combinational
|
||
|
combinatorial
|
||
|
commandArgsPlusMatch
|
||
|
compilable
|
||
|
concat
|
||
|
concats
|
||
|
config
|
||
|
const
|
||
|
coredump
|
||
|
countbits
|
||
|
countones
|
||
|
cout
|
||
|
cpp
|
||
|
cpu
|
||
|
ctor
|
||
|
ctrace
|
||
|
cutable
|
||
|
cygwin
|
||
|
dM
|
||
|
da
|
||
|
dat
|
||
|
datadir
|
||
|
datafiles
|
||
|
ddd
|
||
|
deassign
|
||
|
debugi
|
||
|
defenv
|
||
|
defname
|
||
|
defparam
|
||
|
demangling
|
||
|
der
|
||
|
desassign
|
||
|
destructor
|
||
|
detections
|
||
|
dev
|
||
|
devel
|
||
|
dir
|
||
|
displayb
|
||
|
distcc
|
||
|
doxygen
|
||
|
dpiGetContext
|
||
|
dpic
|
||
|
dsvf
|
||
|
dtor
|
||
|
dumpall
|
||
|
dumpfile
|
||
|
dumplimit
|
||
|
dumpoff
|
||
|
dumpon
|
||
|
dumpportlimit
|
||
|
dumpports
|
||
|
dumpportsall
|
||
|
dumpportslimit
|
||
|
dumpportsoff
|
||
|
dumpportson
|
||
|
dumpvars
|
||
|
dut
|
||
|
dx
|
||
|
elab
|
||
|
elsif
|
||
|
endcase
|
||
|
endcelldefine
|
||
|
endfunction
|
||
|
endgenerate
|
||
|
endian
|
||
|
endif
|
||
|
endmodule
|
||
|
endprotect
|
||
|
endspecify
|
||
|
endtask
|
||
|
engr
|
||
|
entrypoint
|
||
|
enum
|
||
|
enums
|
||
|
env
|
||
|
envvar
|
||
|
eof
|
||
|
erroring
|
||
|
et
|
||
|
eval
|
||
|
exe
|
||
|
executables
|
||
|
expr
|
||
|
extern
|
||
|
fanin
|
||
|
fasttrace
|
||
|
fbranch
|
||
|
fclose
|
||
|
fdisplay
|
||
|
feedthrus
|
||
|
feof
|
||
|
ferror
|
||
|
fflush
|
||
|
fgetc
|
||
|
fgets
|
||
|
filesystem
|
||
|
filt
|
||
|
flto
|
||
|
flushCall
|
||
|
fopen
|
||
|
foreach
|
||
|
fprintf
|
||
|
fprofile
|
||
|
fread
|
||
|
frewind
|
||
|
fs
|
||
|
fscanf
|
||
|
fseek
|
||
|
fsiegle
|
||
|
fst
|
||
|
fstrict
|
||
|
ftell
|
||
|
fullskew
|
||
|
func
|
||
|
funcs
|
||
|
fwrite
|
||
|
gantt
|
||
|
gcc
|
||
|
gdb
|
||
|
genblk
|
||
|
genvar
|
||
|
genvars
|
||
|
getenv
|
||
|
getline
|
||
|
gmake
|
||
|
gmon
|
||
|
gotFinish
|
||
|
gprof
|
||
|
gtkwave
|
||
|
hdr
|
||
|
hdzhangdoc
|
||
|
hh
|
||
|
hier
|
||
|
hierCMakeArgs
|
||
|
hierMkArgs
|
||
|
hierVer
|
||
|
hx
|
||
|
hyperthreads
|
||
|
icecream
|
||
|
idmap
|
||
|
ifdef
|
||
|
ifdefed
|
||
|
iff
|
||
|
ifndef
|
||
|
impot
|
||
|
incdir
|
||
|
includer
|
||
|
inferfaces
|
||
|
inhibitSim
|
||
|
initializer
|
||
|
initializers
|
||
|
inits
|
||
|
inlined
|
||
|
inlining
|
||
|
inout
|
||
|
inouts
|
||
|
inserted
|
||
|
instantiation
|
||
|
instantiations
|
||
|
iostream
|
||
|
ish
|
||
|
isunbounded
|
||
|
isunknown
|
||
|
killua
|
||
|
lang
|
||
|
lcov
|
||
|
ld
|
||
|
len
|
||
|
libext
|
||
|
libgoogle
|
||
|
libsystemc
|
||
|
libtcmalloc
|
||
|
linkers
|
||
|
linter
|
||
|
linux
|
||
|
liu
|
||
|
livelock
|
||
|
ln
|
||
|
loc
|
||
|
localparam
|
||
|
localparams
|
||
|
logicals
|
||
|
longint
|
||
|
lsb
|
||
|
lvalue
|
||
|
lxt
|
||
|
macromodule
|
||
|
makefile
|
||
|
makefiles
|
||
|
manpages
|
||
|
metacomment
|
||
|
metacomments
|
||
|
mis
|
||
|
misconnected
|
||
|
misconversion
|
||
|
missized
|
||
|
mk
|
||
|
mno
|
||
|
modport
|
||
|
modports
|
||
|
msg
|
||
|
msvc
|
||
|
mtask
|
||
|
mtasks
|
||
|
mulithreaded
|
||
|
mult
|
||
|
multidim
|
||
|
multiinterfaces
|
||
|
multiline
|
||
|
multipling
|
||
|
multithread
|
||
|
multithreaded
|
||
|
multithreading
|
||
|
mutexes
|
||
|
mux
|
||
|
mysignal
|
||
|
namespace
|
||
|
nand
|
||
|
nanduraj
|
||
|
nasties
|
||
|
negedge
|
||
|
negedges
|
||
|
netlist
|
||
|
netlists
|
||
|
nettype
|
||
|
nmos
|
||
|
noassert
|
||
|
nochange
|
||
|
noconfig
|
||
|
nol
|
||
|
nonblocking
|
||
|
noprivate
|
||
|
noreturn
|
||
|
notif
|
||
|
nullptr
|
||
|
onehot
|
||
|
ooo
|
||
|
oprofile
|
||
|
oversubscription
|
||
|
param
|
||
|
parameterized
|
||
|
params
|
||
|
parens
|
||
|
pc
|
||
|
pdf
|
||
|
perf
|
||
|
perftools
|
||
|
picoChip
|
||
|
pinout
|
||
|
plusargs
|
||
|
pmos
|
||
|
poping
|
||
|
portbind
|
||
|
portlists
|
||
|
posedge
|
||
|
posix
|
||
|
postfix
|
||
|
pragma
|
||
|
pragmas
|
||
|
pre
|
||
|
precisions
|
||
|
predefines
|
||
|
prepend
|
||
|
prepended
|
||
|
preprocess
|
||
|
preprocessed
|
||
|
preprocessing
|
||
|
preprocessor
|
||
|
prerelease
|
||
|
prev
|
||
|
printf
|
||
|
printtimescale
|
||
|
profcfunc
|
||
|
profcfuncs
|
||
|
prototyptes
|
||
|
ps
|
||
|
pthread
|
||
|
pulldown
|
||
|
pulldowns
|
||
|
pullup
|
||
|
pvalue
|
||
|
pwd
|
||
|
qrq
|
||
|
radix
|
||
|
randc
|
||
|
rarr
|
||
|
rdtsc
|
||
|
reStructuredText
|
||
|
readme
|
||
|
readmem
|
||
|
readmemb
|
||
|
readmemh
|
||
|
realpath
|
||
|
realtime
|
||
|
recoding
|
||
|
recrem
|
||
|
redeclaring
|
||
|
regs
|
||
|
reloop
|
||
|
resetall
|
||
|
respecified
|
||
|
rr
|
||
|
rst
|
||
|
runtime
|
||
|
runtimes
|
||
|
rw
|
||
|
sVerilator
|
||
|
sc
|
||
|
scalared
|
||
|
sccanf
|
||
|
seg
|
||
|
setuphold
|
||
|
sformat
|
||
|
sformatf
|
||
|
shortint
|
||
|
shortreal
|
||
|
signame
|
||
|
sp
|
||
|
specparam
|
||
|
splitme
|
||
|
spp
|
||
|
sqrt
|
||
|
srcdir
|
||
|
srcfile
|
||
|
sscanf
|
||
|
stderr
|
||
|
stdin
|
||
|
stdout
|
||
|
stime
|
||
|
stmts
|
||
|
strcasecmp
|
||
|
stringification
|
||
|
stringified
|
||
|
stringify
|
||
|
struct
|
||
|
structs
|
||
|
subcell
|
||
|
subcells
|
||
|
subexpressions
|
||
|
submodule
|
||
|
submodules
|
||
|
sv
|
||
|
svBitVal
|
||
|
svBitVecVal
|
||
|
svGet
|
||
|
svLogicVal
|
||
|
svdpi
|
||
|
swrite
|
||
|
sys
|
||
|
systemc
|
||
|
testbench
|
||
|
threadsafe
|
||
|
threashold
|
||
|
timeInc
|
||
|
timeformat
|
||
|
timeprecision
|
||
|
timeskew
|
||
|
timeunit
|
||
|
tinshark
|
||
|
tm
|
||
|
tolower
|
||
|
toolchain
|
||
|
topcell
|
||
|
toplevel
|
||
|
toupper
|
||
|
traceEverOn
|
||
|
tran
|
||
|
treei
|
||
|
tri
|
||
|
tristate
|
||
|
tristates
|
||
|
trunc
|
||
|
txt
|
||
|
typ
|
||
|
typedef
|
||
|
typedefed
|
||
|
typedefs
|
||
|
typename
|
||
|
uint
|
||
|
un
|
||
|
undef
|
||
|
undefineall
|
||
|
undriven
|
||
|
ungetc
|
||
|
uniquified
|
||
|
unistd
|
||
|
unlink
|
||
|
unlinked
|
||
|
unopt
|
||
|
unoptflat
|
||
|
unoptimizable
|
||
|
unroller
|
||
|
unsized
|
||
|
unsup
|
||
|
untyped
|
||
|
urandom
|
||
|
uselib
|
||
|
uwire
|
||
|
uwires
|
||
|
vc
|
||
|
vcd
|
||
|
vcddiff
|
||
|
vcoverage
|
||
|
vec
|
||
|
ver
|
||
|
verFiles
|
||
|
verible
|
||
|
verilate
|
||
|
verilated
|
||
|
verilator
|
||
|
verilog
|
||
|
verilogmod
|
||
|
verimake
|
||
|
vl
|
||
|
vlopt
|
||
|
vlt
|
||
|
vltstd
|
||
|
vluint
|
||
|
vpi
|
||
|
vpiLeftRange
|
||
|
vpiModule
|
||
|
vpiSize
|
||
|
vpiSuppressVal
|
||
|
vpiTimeUnit
|
||
|
vpiType
|
||
|
vpm
|
||
|
vpp
|
||
|
warmup
|
||
|
waveforms
|
||
|
whitespace
|
||
|
widthed
|
||
|
wreal
|
||
|
writeb
|
||
|
writeme
|
||
|
writemem
|
||
|
writememb
|
||
|
writememh
|
||
|
xin
|
||
|
xml
|
||
|
xnor
|
||
|
xout
|
||
|
xuejiazidi
|
||
|
yanx
|
||
|
yurivict
|
||
|
zdave
|